電子工業(yè)工程術語標準(征求意見稿)

住房和城鄉(xiāng)建設部辦公廳關于國家標準《電子工業(yè)工程術語標準(征求意見稿)》公開征求意見的通知

  根據(jù)住房和城鄉(xiāng)建設部《關于印發(fā)2019年工程建設規(guī)范和標準編制及相關工作計劃的通知》(建標函〔2019〕8號),我部組織中國電子工程設計院有限公司等單位起草了國家標準《電子工業(yè)工程術語標準(征求意見稿)》(見附件)。現(xiàn)向社會公開征求意見。有關單位和公眾可通過以下途徑和方式反饋意見:

  1.電子郵箱:liuying6@ceedi.cn。

  2.通信地址:北京市海淀區(qū)西四環(huán)北路160號玲瓏天地B座;郵政編碼:100142。

  意見反饋截止時間為2022年9月10日。

  附件:《電子工業(yè)工程術語標準(征求意見稿)》

  住房和城鄉(xiāng)建設部辦公廳

  2022年8月10日

  電子工業(yè)工程術語標準

  Engineering Terminology Standard of Electronics Industry

  征求意見稿

  中華人民共和國住房和城鄉(xiāng)建設部聯(lián)合發(fā)布

  國 家 市 場 監(jiān) 督 管 理 總 局

  前 言

  本標準根據(jù)住房和城鄉(xiāng)建設部《關于印發(fā)2019年工程建設規(guī)范和標準編制及相關工作計劃的通知》(建標函[2019]8號)的要求,由中國電子工程設計院有限公司會同有關單位共同編制完成。

  本標準在編制過程中,編制組對我國電子工業(yè)工程建設相關術語進行了調(diào)查研究,在總結國內(nèi)外實踐經(jīng)驗和廣泛征求國內(nèi)有關設計、制造、研究、建設等單位意見的基礎上,最后經(jīng)審查定稿。

  本標準共分9章,主要內(nèi)容包括:總則、綜合性術語、電子元器件、電子專用材料、電子專用設備、電子整機及電池生產(chǎn)與處置、數(shù)據(jù)中心、電子工業(yè)工程建設特種技術、其他相關術語。

  本標準由住房和城鄉(xiāng)建設部負責管理,由工業(yè)和信息化部負責日常管理。

  本標準起草單位:中國電子工程設計院有限公司地址:北京市海淀區(qū)西四環(huán)北路160號,郵政編碼:100142)

  其余暫略

  本標準主要起草人員: 暫略

  本標準主要審核人員:暫略

  目 次

  1 總則

  2 綜合性術語

  3 電子元器件

  4 電子專用材料

  4.1 半導體材料

  4.2 光電子材料

  4.3 磁性材料

  4.4 電子陶瓷材料

  4.5 覆銅板及銅箔材料

  4.7 電子化工材料

  4.8 其他電子材料

  5 電子專用設備

  6 電子整機及電池生產(chǎn)與處置

  7 數(shù)據(jù)中心

  8 電子工業(yè)工程建設特種技術

  8.1 工藝技術與工藝設計

  8.2 潔凈技術

  8.3 微振動

  8.4 電磁屏蔽

  8.5 防輻射

  8.6 防靜電

  8.7 電子工業(yè)純水

  8.8 電子氣體和化學品

  8.9 廢水、廢氣和固廢

  8.10消音降噪

  9 其他相關術語

  索引

  中文索引

  英文索引

  條文說明

  2 綜合性術語

  3 電子元器件

  4 電子專用材料

  4.1 半導體材料

  4.3 磁性材料

  4.5 電子陶瓷材料

  4.6 覆銅板及銅箔材料

  4.7 電子化工材料

  4.8 其他電子材料

  5 電子專用設備

  6 整機及電池生產(chǎn)與處置工程

  7 數(shù)據(jù)中心

  8 電子工業(yè)工程建設特種技術

  8.1 工藝技術與工藝設計

  8.2 潔凈技術

  8.5 防輻射

  8.7 電子工業(yè)純水

  8.9 廢氣、廢水和固廢

  1 總 則1.0.1為規(guī)范電子工業(yè)工程建設術語及其定義,制定本標準。

  1.0.2本標準適用于電子元器件、電子專用材料、電子專用設備、電子整機、廢棄電器電子產(chǎn)品等電子工業(yè)工程項目以及電池生產(chǎn)與處置、數(shù)據(jù)中心等項目的立項、建設、改造、維修、拆除等工程項目全周期。

  1.0.3電子工業(yè)工程建設術語,除應符合本標準外,尚應符合國家現(xiàn)行有關標準的規(guī)定。

  2 綜合性術語2.0.1電子工業(yè)工程electronicindustrial project

  電子整機、電子元器件、電子材料及電子專用設備工程項目的統(tǒng)稱。

  2.0.2微電子技術 micro-electronics technology

  微小型電子元器件的研制、生產(chǎn)以及實現(xiàn)預定電子系統(tǒng)功能的技術。

  2.0.3核心生產(chǎn)區(qū)core production area

  電子工廠的核心工藝生產(chǎn)區(qū)。

  2.0.4輔助區(qū)auxiliary area

  主要用于布置與生產(chǎn)相關的輔助工藝用房的功能區(qū)域。

  2.0.5支持區(qū)support area

  為核心生產(chǎn)區(qū)、輔助區(qū)提供動力支持和安全保障的功能區(qū)域。

  2.0.6黃光區(qū)yellow light area

  采用偏黃色可見光照明的加工區(qū)域。

  3電子元器件3.0.1電子元件廠electronic component factory

  生產(chǎn)將電阻器、電容器、電感器等電路單元獨立封裝,有兩個及以上引線或金屬接點并具有特定功能的電子電路產(chǎn)品的工廠。

  3.0.2電子電路electronic circuit

  在絕緣基材上由電子元器件組成,采用印制工藝形成的電氣電子連接電路。

  3.0.3印制電路板printed circuit board(PCB)

  在絕緣基材上,按預定設計形成印制元件、印制線路或帶有兩者結合導電圖形的印制電路成品板,也稱印制線路成品板,簡稱印制板。

  3.0.4微電子機械系統(tǒng) micro electro mechanical system(MEMS)

  集微型機構、微型傳感器、微型執(zhí)行器以及信號處理控制電路、接口、電源等于一體的機械裝置。

  3.0.5電子器件廠electronic device

  生產(chǎn)在真空、氣體或固體中利用和控制電子運動規(guī)律制成的器件的工廠。

  3.0.6電子真空器件electronicvacuumdevice

  利用電子在真空或者氣體中與電磁場發(fā)生相互作用,將一種形式電磁能量轉換為另一種形式電磁能量的器件。

  3.0.7晶體管transistor

  對信號有放大和開關等作用,有三個或四個電極的半導體器件。

  3.0.8結型場效應晶體管junction-gate field-effect transistor(JFET)

  由PN結柵極、源極和漏極構成的具有放大功能的三端有源器件。

  3.0.9雙極型晶體管bipolar transistor

  由兩個背靠背PN結構成的以獲得電壓、電流或信號增益的晶體三極管。

  3.0.10金屬氧化物半導體場效應晶體管metaloxidesemiconductor fieldeffect transistor(MOSFET)

  每個柵極和溝道之間的絕緣層是氧化物材料的一種絕緣柵場效應晶體管。

  3.0.11分立器件discrete device

  獨立封裝并具有兩個或以上引線或金屬接點的三極管、二極管、傳感器等電路單元,或產(chǎn)品。

  3.0.12集成電路integrated circuit

  通過一系列特定的加工工藝,將晶體管、二極管等有源器件和電阻器、電容器等無源元件,按照一定的電路互連,集成在半導體晶片上,構成特定功能的電路或系統(tǒng)。

  3.0.13雙極集成電路bipolar integrated circuits

  由NPN型或PNP型雙極型晶體管構成的單片集成電路。

  3.0.14 MOS集成電路metaloxidesemiconductor integrated circuits(MOSIC)

  以金屬氧化物半導體場效應晶體管為主構成的集成電路。

  3.0.15 CMOS集成電路 complementary metaloxidesemiconductor integrated circuits

  由以P型溝道的NMOS晶體管和以N型溝道的PMOS晶體管互補構成的集成電路。

  3.0.16BiCMOS集成電路 bipolar complementary metaloxidesemiconductor integrated circuits

  由雙極型門電路和互補金屬氧化物半導體門電路共同構成的集成電路。

  3.0.17 管芯 pipe core

  晶圓片上用劃線分隔開的單個相對完整的電路或器件單元。

  3.0.18邏輯芯片logic chip

  傳遞和處理離散信號,以二進制為原理、實現(xiàn)數(shù)字信號邏輯運算和操作的電子器件。

  3.0.19存儲芯片memory chip

  利用電能方式存儲信息的電子器件。

  3.0.20 射頻芯片 radio frequency chip

  將高頻率的無線電磁波信號與二進制信號相互轉換的電子器件。

  3.0.21 無廠芯片設計公司 fabless

  自身沒有制造工廠的芯片設計公司。

  3.0.22芯片代工廠 foundry

  接受芯片設計公司委托,專門從事半導體芯片制造的工廠,也稱晶圓代工廠。

  3.0.23垂直整合模式 integrated design and manufacturer(IDM)

  涵蓋芯片設計、研發(fā)、芯片制造、封裝測試、應用和銷售全過程的運營模式。

  3.0.24顯示器件display device

  用于以視覺形式呈現(xiàn)信息的輸出設備。

  3.0.25薄膜晶體管液晶顯示器件thinfilm transistors liquid crystal display(TFT-LCD)

  使用薄膜晶體管作為控制像素開關,并采用有源矩陣直接驅動像素方式的液晶顯示器件。

  3.0.26等離子體顯示器件plasma display panel(PDP)

  利用氣體電離放電而發(fā)光的平板顯示器件。

  3.0.27有機發(fā)光二極管顯示器件organic light emitting diode display device(OLED)

  通過正負載流子注入有機半導體薄膜后復合發(fā)光的多層薄膜全固體發(fā)光顯示器件,又稱有機電致發(fā)光顯示器件。

  3.0.28顯示模組 displaymodule

  將顯示面板(屏)、連接件、控制與驅動等外圍電路、印制電路板、背光源組件、結構件等裝配在一起構成的組件。

  3.0.29光電子器件 photoelectronic device

  利用半導體光電轉換效應制成的各種功能器件。

  3.0.30 發(fā)光二極管light emitting diode(LED)

  將電能轉化為可見光的固態(tài)半導體器件。

  3.0.31有機發(fā)光二極管微顯示技術Micro OLED technique

  以單晶硅半導體為襯底,CMOS驅動有機發(fā)光二極管,實現(xiàn)高分辨率和微小尺寸的微型顯示技術。

  3.0.32發(fā)光二極管微縮化和矩陣化技術Micro LEDtechnique

  將發(fā)光二極管背光源進行薄膜化、微小化、陣列化,實現(xiàn)每個像素單獨定制,單獨驅動發(fā)光的技術。

  3.0.33 電力電子器件Power Electronic Device

  控制電力設備電能變換的大功率電子器件,又稱功率半導體器件。

  3.0.34太陽能電池 solar cell

  通過光電效應或者光化學效應直接把光能轉化成電能的裝置。

  3.0.35硅太陽能電池 silicon solar cell

  以晶體硅為基體材料的太陽能電池,又稱晶硅電池,包括單晶硅太陽能電池和多晶硅太陽能電池。

  3.0.36非晶硅太陽能電池amorphous silicon solar cell

  用非晶硅材料及其合金制造的太陽能電池,簡稱a-si太陽能電池。

  3.0.37薄膜太陽能電池thin film solar cell

  在玻璃、塑料柔性襯底或其它非半導體材料襯底上沉積半導體薄膜材料而制成的太陽能電池。

  4電子專用材料4.0.1 電子專用材料廠special electronic materialfactory

  生產(chǎn)用于電子元器件、組件及系統(tǒng)制備的專用電子功能材料、互聯(lián)與封裝材料、工藝及輔助材料的工廠。

  4.1半導體材料4.1.1半導體材料 semiconductor material

  電阻率介于導體與絕緣體之間,范圍在1×10-5Ω·cm~1×107Ω·cm的一類固體物質。

  4.1.2單晶硅 monocrystalline silicon

  由大量結晶方向相同的單晶體組成的半導體材料。

  4.1.3多晶硅polycrystal semiconductor materials

  由大量結晶方向不相同的單晶體組成的半導體材料。

  4.1.4非晶硅 amorphous silicon

  熔融硅在過冷條件下凝固時,硅原子以無規(guī)則網(wǎng)絡形態(tài)排列成晶核,這些晶核長成晶粒,晶粒再結晶成的物質。

  4.1.5硅片silicon wafer

  用于半導體器件制造的硅基晶圓片。

  4.1.6襯底 substrate

  用于半導體器件制造使用的片狀基底材料。

  4.1.7硅基半導體材料 silicon-based materials

  以硅材料為襯底,通過不同工藝過程生長的材料。

  4.1.8 碳基半導體材料carbon-based materials

  具有高載流子遷移率,高載流子濃度,高熱導率等優(yōu)良特性的碳基半導體材料。

  4.1.9硅外延片 silicon epitaxial wafer

  在高溫下通過氣相化學反應,在拋光的單晶硅襯底上生長一層或多層單晶硅薄膜的半導體材料。

  4.1.10拋光片polished wafer

  在磨片基礎上,通過化學機械研磨的方式,進一步獲得更光滑、更平整的單晶硅襯底片。

  4.2 光電子材料4.2.1光電子材料 optoelectronic material factory

  以光子、電子為載體,處理、存儲和傳遞信息的材料。

  4.2.2光纖預制棒 optical fiber

  徑向折射率分布符合拉制光纖要求的玻璃棒。

  4.2.3 光纖 optical fiber

  通過不同的折射角度傳輸光信號的玻璃或塑料纖維。

  4.2.4光纖器件optical fiber device

  以光纖為核心元件,對光波進行轉換或傳輸?shù)幕纠w維光學無源器件。

  4.2.5光纜 optical fiber cable

  利用置于包覆護套中的一根或多根光纖作為傳輸媒質并可以單獨或成組使用的通信線纜組件。

  4.2.6半導體照明材料 semiconductor lighting material

  利用半導體芯片制作的發(fā)光材料。

  4.2.7液晶材料 liquid crystal material

  在一定溫度范圍內(nèi)既具有晶體特有的雙折射性,又具有液體流動性的液晶物質。

  4.3 磁性材料4.3.1磁性材料 magnetic material

  具有鐵磁性或亞鐵磁性的物質。

  4.3.2硬磁材料 permanent magnetic material

  一經(jīng)磁化即能保持恒定磁性的材料,又稱永磁材料。

  4.3.3軟磁材料 soft magnetic material

  具有低矯頑力和高磁導率的磁性材料。

  4.3.4功能磁性材料 functional magnetic materials

  在電、磁、聲、光、熱等方面具有特殊性質,或表現(xiàn)出特殊功能的磁性材料。

  4.4 電子陶瓷材料4.4.1 陶瓷材料 ceramic material

  用天然或合成化合物經(jīng)過成形和高溫燒結制成的無機非金屬材料。

  4.4.2電子陶瓷 electronic ceramic

  利用電、磁性質且用于制造電子元件和器件的陶瓷材料。

  4.5 覆銅板及銅箔材料4.5.1 覆銅板 copper clad laminate(CCL)

  將電子玻纖布或其它增強材料浸以樹脂,單面或雙面覆以銅箔并經(jīng)熱壓而制成的板狀材料。

  4.5.2銅箔 copper

  沉淀于電路板基底層上的金屬箔。

  4.5.3覆箔板metal clad board,metal foil clad board

  覆以金屬箔的絕緣材料。

  4.5.4黏結片bonding sheet

  具有一定粘結性能的預浸材料或其他膠膜材料,也稱作粘結片。

  4.6 電子化工材料4.6.1電子化工材料electronics chemical material

  電子元器件、印刷線路板、電子整機等生產(chǎn)和包裝用的各種電子工業(yè)專用化學品及材料,又稱電子化學品材料。

  4.6.2光刻膠 photoresist

  利用光照反應后不同的溶解度,將掩膜版圖形轉移至襯底上圖形的轉移介質,又稱為光致抗蝕劑。

  4.6.3 濕電子化學品wet electronic chemicals

  在微電子、光電子濕法工藝制程中使用的各種電子化工材料。

  4.6.4電子封裝材料 electronic packaging material

  用于電子器件打包封裝的絕緣材料。

  4.7 其他電子材料4.7.1 電子玻璃 electronic glass

  用于制作具有光電、熱電、聲光、磁光等功能電子元器件的玻璃材料。

  4.7.2平板顯示用玻璃基板 glass substrate for flat panel display(FPD)

  平板顯示器所使用的平板玻璃。

  4.7.3偏光片 polarizer

  將非偏振光轉化為偏振光的多層膜結構的膜材料。

  4.7.4氧化銦錫導電玻璃 Indium Tin Oxide(ITO)conductive glass

  利用磁控濺射方法在表面鍍一層氧化銦錫透明導電膜的玻璃基板。

  4.7.5光刻掩膜板 photo mask

  在光刻工藝中使用的一種表面具有遮光圖案的玻璃板。

  4.7.6 靶材target material

  在適當工藝條件下,通過磁控濺射、多弧離子鍍或其他類型的鍍膜系統(tǒng)濺射在晶圓或基板上形成各種功能薄膜的濺射源。

  4.7.7 石英晶體 quartz crystal

  具有壓電效應及優(yōu)良的機械特性、電學特性和溫度特性的晶體材料。

  4.7.8鋰離子電池材料 Lithium-ion battery materials

  制造鋰離子電池所需的專用材料,主要包含正極材料、負極材料、隔膜、電解液。

  5電子專用設備

  5.0.1 電子專用設備廠 electronic production equipment factory

  生產(chǎn)專門用于材料制備、電子元器件加工、組合裝調(diào)、工藝環(huán)境保證、工藝監(jiān)控和質量保證設備的工廠。

  5.0.2 微電子生產(chǎn)設備 micro-electronics manufacturing equipment

  制作微電子元器件所需的生產(chǎn)設備及裝置。

  5.0.3單晶爐 crystal growing furnace

  采用高溫熔化方法,由原材料制備或提純單質或化合物半導體單晶硅錠的設備。

  5.0.4 切片機 slicing machine

  將半導體硅錠等脆硬棒材切割成規(guī)定厚度片材的設備。

  5.0.5研磨設備polishingequipment

  用涂上或嵌入磨料的研具對工件表面進行研磨的設備,又稱拋光設備。

  5.0.6 減薄設備backgrinding equipment

  用于減薄非金屬和金屬的硬脆性材料、精密零件的設備。

  5.0.7 清洗設備cleaning equipment

  用于去除表面顆粒、金屬、有機物等雜質,以及石英、塑料等附件器皿污染物,達到工藝潔凈要求的裝置。

  5.0.8外延設備 epitaxy equipment

  利用晶體界面二維結構相似性成核原理,在單晶片上,沿著其原來的結晶軸方向再生長一層晶格完整、且可以具有不同雜質濃度和厚度單晶層的設備。

  5.0.9氧化爐oxidation furnace

  在高溫、氧(或水汽)氣氛條件下,襯底硅生長出二氧化硅薄膜的設備。

  5.0.10 退火設備annealing equipment

  將材料加熱到一定溫度后,再慢慢冷卻的熱處理設備。

  5.0.11 擴散爐diffusion

  實現(xiàn)雜質原子或分子在高溫下由高濃度區(qū)向低濃度區(qū)移動的設備。

  5.0.12 化學氣相沉積設備 chemical vapor beposition equipment

  將含有薄膜元素的一種或幾種氣相化合物或單質在襯底表面上進行化學反應生成薄膜的設備。

  5.0.13等離子增強化學氣相沉積設備 plasma enhanced chemical vapor deposition(PECVD)equipment

  利用等離子體的活性促進反應,在較低溫度下使氣態(tài)化合物在基片表面反應并積淀形成穩(wěn)定固體薄膜的反應設備。

  5.0.14低壓化學氣相沉積設備low pressure chemical vapor deposition(LPCVD)equipment

  在低壓條件下,用加熱的方式使氣態(tài)化合物在基片表面反應并積淀形成穩(wěn)定固體薄膜的反應設備。

  5.0.15常壓化學氣相沉積設備atmospheric pressure chemical vapor deposition(APCVD)equipment

  在大氣壓條件下,使氣態(tài)化合物在基片表面反應并積淀形成穩(wěn)定固體薄膜的反應設備。

  5.0.16金屬有機化合物化學氣相沉積設備 metal-organic chemical vapor deposition(MOCVD)machine

  利用有機金屬熱分解反應進行氣相外延生長金屬有機化合物半導體的生長設備。

  5.0.17物理氣相沉積設備physical vapor deposition equipment

  在真空條件下,采用物理方法,將固體或液體表面氣化成氣態(tài)原子、分子或部分電離成離子,在基體表面沉積具有某種特殊功能薄膜的設備。

  5.0.18真空濺射設備sputtering equipment

  在真空中利用濺射方法制造薄膜的設備。

  5.0.19真空鍍膜設備vacuum coating equipment

  在真空中用蒸發(fā)等方法將蒸發(fā)材料沉積工件上,形成均勻牢固的薄膜以完成鍍膜工藝的設備。

  5.0.20涂膠設備coater

  將液態(tài)光刻膠涂在硅片表面上的一種機械設備。

  5.0.21 光刻設備 photo equipment

  使用照相技術將電路或管芯的設計圖案由光刻掩膜板轉移到硅基或玻璃基材料上的設備。

  5.0.22 顯影設備developing equipment

  用化學顯影液溶解由曝光造成的光刻膠可溶解部分的設備。

  5.0.23干法刻蝕設備dry etcher

  用等離子氣體和薄膜進行化學反應,去除需要刻蝕掉的薄膜的設備。

  5.0.24濕法刻蝕設備wet etcher

  利用化學溶液和薄膜進行化學反應,去除需要刻蝕掉的薄膜的設備。

  5.0.25離子注入設備ionimplant equipment

  在真空系統(tǒng)中,用經(jīng)過加速的、要摻雜原子的離子照射(注入)固體材料,在被注入的區(qū)域形成特殊的注入層,并改變這些區(qū)域硅的導電性的設備。

  5.0.26化學機械拋光設備chemical-mechanical polishequipment (CMP)

  化學作用過程和機械作用過程交替進行,工件表面材料與拋光液中的氧化劑、催化劑等發(fā)生化學反應,使工件表面拋光的裝置。

  5.0.27激光劃片機laser scribing machine

  利用高能激光束照射在工件表面,使被照射區(qū)域局部熔化、氣化、從而實現(xiàn)劃片的設備。

  5.0.28鍵合機wirebonding

  用機械鋼嘴將金線一端加壓固定在芯片周圍的焊盤上,另一端加壓固定在載板的金屬接腳上,使芯片上的焊區(qū)與載板上鍍有鎳/金層的焊區(qū)相連的設備。

  5.0.29 測試機tester

  檢查電子元器件功能和性能要求的專用測試設備。

  5.0.30分選機sorter

  對晶圓進行分選排序的設備。

  5.0.31烘箱oven

  用于表面干燥、高溫老化測試的設備。

  5.0.32真空設備vacuum equipment

  產(chǎn)生、改善和(或)維持真空環(huán)境的裝置。包括真空應用設備和真空獲得設備

  5.0.33自動除泡機automatic defoamer

  通過在罐體內(nèi)提高壓力和升高溫度,去除偏光片與LCD玻璃、ITO膜與玻璃、觸摸屏偏光膜與保護膜之間貼合氣泡的設備。

  5.0.34 綁定設備binding equipment

  使柔性電路板與傳感器、顯示器以及指紋模組之間建立穩(wěn)定的機械和電氣連接的生產(chǎn)設備。

  5.0.35 貼合設備laminating equipment

  根據(jù)偏振角度在成型的液晶玻璃基板的正反面上貼附偏光片的設備。

  5.0.36模組封裝設備module packaging equipment

  將各種顯示器件、連接件、控制與驅動等外圍電路、電路板、背光源、結構件等裝配成組件的設備。

  5.0.37絲網(wǎng)印刷機screen printing machinery

  用絲網(wǎng)印版施印的機器。

  5.0.38焊接機welding machine

  運用各種可熔的合金(焊錫)聯(lián)接金屬部件的設備。

  5.0.39元件插接機component connector

  將各種電子元件插接到電路板上的機器。

  5.0.40回流焊爐 reflow furnace

  通過加熱電路,將加熱到一定溫度的空氣或氮氣吹向貼好元件的電路板,使元件兩側的焊料融化后與主板粘結的設備。

  5.0.41波峰焊機flow weldingmachine

  利用電動泵或電磁泵,將熔化的鉛錫合金軟釬焊料噴流成設計波峰焊料的設備。

  5.0.42表面貼裝設備 surface mounted technology(SMT)equipment

  將表面貼裝電子元器件貼放到電路板規(guī)定位置上的專用工藝設備,又稱SMT設備。

  5.0.43拉絲塔 drawing tower

  可高速將光纖預制棒連續(xù)拉制成絲狀光纖的生產(chǎn)設備。

  5.0.44光纖拉絲塔加熱爐 furnace for fiber drawing tower

  把預制棒下部尖端加熱到一定溫度,使棒的尖端處于熔融狀態(tài),在重力和拉絲塔下部拉絲盤的作用下拉制光纖的裝置。

  5.0.45光纖拉絲塔冷卻裝置 cooling unit

  位于熔融光纖預制棒的熔爐下面,用于冷卻由光纖預制棒拉出的光纖的裝置。

  5.0.46光纖拉絲塔涂覆裝置 coating unit

  拉絲過程中對裸光纖施加預涂覆層進行保護的裝置。

  5.0.47光纖拉絲塔控制裝置 control system of fiber drawing tower

  在光纖拉絲塔上,減小光纖纖芯直徑波動造成的非固有散射損耗的控制系統(tǒng)。

  5.0.48在線測試儀in circuit tester(ICT)

  通過對電子元器件的電性能及電氣連接進行在線測試,檢查生產(chǎn)制造缺陷及電子元器件不良品的測試儀器。

  5.0.49電子測量儀器 electronic instrumentation

  用電子技術實現(xiàn)對被測對象(電子產(chǎn)品)的電參數(shù)定量檢測的裝置。

  5.0.50掃描電子顯微鏡 scanning electron microscope(SEM)

  用于微區(qū)形貌分析的高分辨率精密儀器。

  5.0.51環(huán)境試驗設備environmental test equipment

  為保證可靠性,根據(jù)電子元器件、電子設備的使用場合及運輸條件等設計制造的單項試驗設備或綜合試驗設備。

  5.0.52振動試驗臺 shaker

  產(chǎn)生一定振動的環(huán)境試驗設備。

  5.0.53沖擊試驗臺shock test machine

  利用機械或氣動、液壓等原理產(chǎn)生沖擊力,使試驗臺臺面實現(xiàn)沖擊運動,對試件進行碰撞和沖擊試驗的設備。

  5.0.54運輸試驗臺traffic simulator

  對電子產(chǎn)品進行鐵路、公路運輸狀況模擬,檢查電子產(chǎn)品經(jīng)受運輸能力的試驗設備。

  5.0.55離心加速度試驗機centrifugal acceleration test machine

  利用機械旋轉產(chǎn)生離心力的方法獲得恒加速度,對試件進行恒加速度試驗的設備。

  5.0.56 凈化設備equipment for clean room

  為維護潔凈室或潔凈環(huán)境潔凈條件而使用的、有完整功能的、可單獨安裝的設備,又稱潔凈設備。

  6電子整機及電池生產(chǎn)與處置6.0.1電子整機廠electronic terminal productfactory

  生產(chǎn)以印制電路板組裝技術為基礎裝配具有獨立應用功能的電子產(chǎn)品或組件的工廠。

  6.0.2電池廠 Batteryfactory

  生產(chǎn)采用密封式結構將正極活性材料、負極活性材料、電介質制成具有一定公稱電壓和額定容量的化學電源的工廠。

  6.0.3鋰離子電池 Lithium ion battery

  鋰離子在正、負極之間反復進行脫出和嵌入的二次電池。

  6.0.4鋰電池 lithium cell

  以鋰金屬為負極材料,使用非水電解質溶液制成的電池。

  6.0.5鎳氫電池 Ni MH battery

  以儲氫合金為負極材料,氫氧化鎳為正極材料,含氫氧化鋰(LiOH)的氫氧化鉀(KOH)水溶液為電解液制成的電池。(國民經(jīng)濟分類)

  6.0.6鉛蓄電池Lead battery

  以鉛及氧化物為正負極材料,硫酸水溶液為電解液制成的電池。(國民經(jīng)濟分類)

  6.0.7鋅錳電池 Zinc manganese battery

  以二氧化錳為正極,鋅為負極的原電池。

  6.0.8廢棄電器電子產(chǎn)品 waste electrical and electronic equipment

  不再使用且已經(jīng)丟棄或放棄的電器電子產(chǎn)品。

  6.0.9電池處置 battery disposal

  將廢舊電池去除其電池功能和原有形態(tài),轉化為可進一步回收、利用的零部件或材料的過程。

  7數(shù)據(jù)中心7.0.1數(shù)據(jù)中心 data center

  為集中放置的電子信息設備提供運行環(huán)境的建筑場所。

  7.0.2基礎設施 infrastructure

  數(shù)據(jù)中心內(nèi)為電子信息設備提供運行保障的設施。

  7.0.3 電子信息設備 electronic information equipment

  對電子信息進行采集、加工、運算、存儲、傳輸、檢索等處理的設備。

  7.0.4主機房computer room

  數(shù)據(jù)處理設備安裝和運行的建筑空間。

  7.0.5 行政管理區(qū)administrative area

  日常行政管理及客戶對托管設備管理的場所。

  7.0.6 災備數(shù)據(jù)中心 disaster recovery data center

  災難發(fā)生時,可接替生產(chǎn)系統(tǒng)運行、數(shù)據(jù)處理和支持關鍵業(yè)務功能繼續(xù)運作的場所。

  7.0.7互聯(lián)網(wǎng)數(shù)據(jù)中心internet data center(IDC)

  擁有寬帶出口,并以外包出租的方式為用戶的服務器、網(wǎng)絡設備等互聯(lián)網(wǎng)相關設備提供放置、代理維護、系統(tǒng)配置及管理服務,或提供計算、存儲、軟件等資源的出租、通信線路和出口帶寬的代理租用和其他應用服務的場所。

  7.0.8 超算中心super computer center

  在科學領域承擔各種大規(guī)??茖W計算和工程計算任務,同時擁有強大的數(shù)據(jù)處理和存儲能力的數(shù)據(jù)中心。

  7.0.9總控中心 enterprise command center(ECC)

  為數(shù)據(jù)中心各系統(tǒng)提供集中監(jiān)控、指揮調(diào)度、技術支持和應急演練的平臺,又稱監(jiān)控中心。

  7.0.10 數(shù)據(jù)中心能源利用率data center power usage effectiveness(PUE)

  數(shù)據(jù)中心消耗的所有能源與信息設備負載消耗的能源的比值。

  7.0.11水利用效率 water usage effectiveness(WUE)

  數(shù)據(jù)中心內(nèi)所有用水設備消耗的總水量與所有電子信息設備消耗的總電能之比。

  7.0.12云計算cloud computing

  能夠讓用戶通過網(wǎng)絡按照需要使用資源池提供的可配置運算資源的服務模式。

  7.0.13 數(shù)據(jù)中心園區(qū) data center park

  在一定范圍的土地上規(guī)劃建設一棟或多棟數(shù)據(jù)中心建筑及配套設施,形成主要以實現(xiàn)對數(shù)據(jù)進行運算、存儲和傳輸功能的園區(qū)。

  7.0.14 液冷技術liquid coolingtechnology

  通過液體消除電子設備熱量的技術。

  7.0.15數(shù)據(jù)中心基礎設施管理系統(tǒng) data center infrastructure management(DCIM)

  收集、處理數(shù)據(jù)中心的資產(chǎn)、資源以及各種設備的運行狀態(tài)的數(shù)據(jù),輔助數(shù)據(jù)中心運行管理的系統(tǒng)。

  7.0.16信息系統(tǒng)恢復時間目標information systemsrecovery time objective(RTO)

  信息系統(tǒng)或業(yè)務功能從停頓到必須恢復的時間要求。

  7.0.17信息系統(tǒng)恢復點目標information systemsrecovery point objective(RPO)

  系統(tǒng)和數(shù)據(jù)必須恢復到要求的時間點。

  7.0.18數(shù)據(jù)中心可用性 data center availability

  數(shù)據(jù)中心能夠正常運行的概率或時間占有率的期望值。

  8電子工業(yè)工程建設特種技術

  8.1 工藝技術與工藝設計

  Ⅰ 整機生產(chǎn)工藝8.1.1元器件老化篩選 selection of components by aging method

  給電子元器件施加熱的、電的、機械的或多種結合的外部應力,模擬惡劣的工作環(huán)境,使它們內(nèi)部的潛在缺陷加速暴露出來,然后進行電氣參數(shù)測量,篩選剔除那些失效或變性電子元器件的過程。

  8.1.2裝聯(lián)準備 electronics assembling preparation

  裝配前先將用于組裝電子產(chǎn)品的各種元器件、零件和導線進行預先加工處理的工作,也稱生產(chǎn)準備。

  8.1.3印制電路板裝聯(lián)PCB assembling

  在制作有金屬電路圖形的印制電路板上裝配和裝焊電子元器件的工藝。

  8.1.4裸芯片組裝bare chip assembly

  從已完工的晶圓上切下的芯片,不封裝成體,而直接組裝在印制電路板上的工藝。

  8.1.5表面組裝技術 surface mounted technology(SMT)

  無需對印制板鉆插裝孔,直接在表面規(guī)定位置上貼焊電子元器件或部件的電路裝聯(lián)技術,又稱表面安裝技術。

  8.1.6印制板組件檢測 test for PCB

  為將印制板組件的貼(插)裝、焊接故障降到最小限度,使組件達到特定標準要求的質量和可靠性等級所進行的檢測。

  8.1.7整機裝配 complete machine assembling

  對整機進行機械裝配、電氣連接和裝配后質量檢驗的過程。

  8.1.8整機老化試驗 complete machine aging test

  針對整機產(chǎn)品仿真出高溫等惡劣環(huán)境,對其進行穩(wěn)定性、可靠性老化試驗的過程。

  8.1.9整機調(diào)試 complete machine debugging

  按相應的技術標準或指標要求,將整機產(chǎn)品各項指標調(diào)試到允許范圍內(nèi)的過程。

  8.1.10環(huán)境試驗 environment test

  將產(chǎn)品暴露在自然的或人工環(huán)境條件下,評價產(chǎn)品在實際使用、運輸和貯存環(huán)境條件下的性能,并分析研究環(huán)境因素的影響程度及其作用機理的試驗。

  8.1.11力學環(huán)境試驗 dynamics environment test

  在力學環(huán)境因素作用下,考核、評價產(chǎn)品的功能可靠性、結構完好性的試驗。

  8.1.12氣候環(huán)境試驗 climate environmental test

  考核、評價產(chǎn)品在氣候環(huán)境因素作用下的性能、可靠性和安全性的試驗。

 ?、?電子元器件生產(chǎn)工藝8.1.13 晶圓生產(chǎn)wafer fabrication

  在硅基襯底上完成半導體器件制造的加工過程。

  8.1.14硅片投入wafer start

  硅片拆除外包裝后投入生產(chǎn)線的過程。

  8.1.15 硅片清洗wafer clean

  在不破壞硅片表面特性的前提下,使用不同的化學品藥液和純水進行清洗,去除半導體硅片表面的塵埃顆粒、有機物殘留薄膜和金屬離子的過程。

  8.1.16外延 epitaxy

  采取化學反應晶體生長技術,在決定晶向的基質襯底表面上生長有相同晶格結構的一薄層半導體材料的過程。

  8.1.17硅氣相外延 silicon vapor-phase epitaxy(VPE)

  利用四氯化硅、三氯氫硅、二氯二氫硅或硅烷等硅氣態(tài)化合物,在加熱的硅襯底表面與氫反應或自身發(fā)生熱分解還原成硅,并以單晶的形式沉積在硅襯底表面的過程。

  8.1.18分子束外延 molecular-beam epitaxy(MBE)

  聚焦的電子束源產(chǎn)生的電磁場蒸發(fā)得到的硅反應原子,直接沉積在硅襯底表面形成外延層的過程。

  8.1.19氧化 oxidation

  氧分子或水分子在高溫下與硅發(fā)生化學反應,并在硅片表面上生長一層二氧化硅薄膜的過程。

  8.1.20擴散 diffusion

  雜質原子或分子在高溫下由高濃度區(qū)向低濃度區(qū)的移動過程。

  8.1.21退火 anneal

  將晶圓加熱到一定溫度,然后冷卻以達到特定結果的過程。

  8.1.22摻雜 doping

  將所需雜質按要求的濃度和分布摻入到半導體材料中,以改變材料的電學性質的過程。

  8.1.23化學氣相沉積 chemical vapor deposition(CVD)

  一種或數(shù)種物質的氣體以某種方式被激活后,在襯底表面發(fā)生化學反應并沉積出所需固體薄膜的生長技術。

  8.1.24等離子增強化學氣相沉積 plasma enhanced chemical vapor deposition(PECVD)

  通過等離子體的活性促進化學反應,使氣體反應物質生成固態(tài)物質并沉積在玻璃基板表面的薄膜沉積工藝技術。

  8.1.25電化學沉積 electrochemical deposition

  在外電場作用下,電流通過電解質溶液中正負離子的遷移,并在電極上發(fā)生得失電子的氧化還原反應而形成鍍層的技術。

  8.1.26 原子層沉積atomic layer deposition(ALD)

  可以將物質以單原子膜形式一層一層地沉積在基底表面的方法。

  8.1.27濺射 sputtering

  高能粒子撞擊高純度的靶材料,被撞擊出的原子穿過真空,最后沉積到硅片或其他基片上的過程。

  8.1.28鈍化 passivation

  為避免周圍環(huán)境氣氛和其他外界因素對器件性能產(chǎn)生影響而在器件表面形成保護膜的過程。

  8.1.29金屬化 metallization

  應用化學或物理方法在芯片上沉積導電薄膜的過程。

  8.1.30光刻 photolithography

  將電路或管芯的分次設計圖案由光刻掩膜板轉移到晶圓片表層的圖像轉移技術。

  8.1.31刻蝕 etching

  采用光化學、化學或物理學方法腐蝕或去除特定區(qū)域的介質或金屬材料的加工過程。

  8.1.32濕法刻蝕 wet etching

  采用液態(tài)化學藥品進行刻蝕的方法。

  8.1.33干法刻蝕 dry etching

  采用氣態(tài)化學藥品進行刻蝕的方法。

  8.1.34離子注入 ion implant

  將待摻雜的物質電離,加速成高能量離子束入射到材料中,與材料中的原子或分子發(fā)生一系列的物理、化學作用,從而優(yōu)化材料表面性能或獲得某些新的優(yōu)異性能的過程。

  8.1.35化學機械拋光 chemical-mechanical polish(CMP)

  化學腐蝕和機械磨削同時進行的拋光技術。

  8.1.36化學機械平坦化 chemical mechanical planarization

  用化學腐蝕與機械研磨相結合的方式除去硅片頂部多余的厚度,使硅片表面平坦化的技術。

  8.1.37 晶圓測試 wafertest

  在晶圓全部制作完成后,針對晶圓上的芯片進行電性能和功能測試的過程,又稱中測。

  8.1.38封裝 packaging

  為使芯片與外界環(huán)境隔絕、不受污染,且便于使用、焊接,將芯片固定在外殼上并將芯片密封的過程。

  8.1.39先進封裝 advanced packaging

  把半導體技術和表面組裝技術融為一體的封裝技術。

  8.1.40 晶圓級封裝 wafer level packaging

  直接在晶圓上進行大多數(shù)或是全部的封裝和測試程序后,再進行切割制成單顆組件的過程。

  8.1.41板級封裝 board level packaging

  直接在玻璃基板上進行大多數(shù)或是全部的封裝和測試程序后,再進行切割制成單顆組件的過程。

  8.1.42背面減薄back thinning

  在電路制作完成后,磨去硅片背面非有源區(qū)的部分,減少多余厚度的過程。

  8.1.43劃片 die sawing

  利用切割刀或劃線剝離技術將晶圓分割成單個芯片的過程。

  8.1.44貼片 loading

  將鏡檢好的單個芯片取出,通過合金焊料焊接固定在引線框架或其他封裝基板上的過程,又稱芯片貼裝。

  8.1.45鍵合 bonding

  將芯片焊區(qū)與電子封裝外殼的I/O引線或基板上的金屬布線焊區(qū)連接的過程。

  8.1.46塑封 molding

  將熔融的絕緣塑料或陶瓷材料注入不同的塑封模具的模腔內(nèi),密封芯片和部分引線框架或其他封裝基板的過程。

  8.1.47去飛邊毛刺clean up burr and flashing

  去除封裝過程中溢出的塑封料樹脂、貼帶毛邊、引線毛刺的過程。

  8.1.48電鍍 plating

  在半導體封裝引線框架表面鍍上一層薄而均勻、致密的保護性薄膜(焊錫)的過程。

  8.1.49打碼 marking

  在封裝模塊上注明商品名稱、制造商等信息和引腳標識的過程。

  8.1.50切筋和成型trimming & forming

  將塑封后框架狀態(tài)制品分割成獨立的IC,并把不需要的連接用材料及多余樹脂去除,將外引腳壓成各種預設形狀的過程。

  8.1.51外觀檢查 exterier inspection

  快速識別晶圓或芯片的外觀缺陷的檢測方法。

  8.1.52終測 final test

  對已制造完成的半導體器件進行結構及電氣性能測試的過程。

  8.1.53陣列工藝 array process

  在玻璃基板上通過成膜、光刻、刻蝕等半導體工藝技術,制作有規(guī)則排列的特定薄膜晶體管(開關器件)陣列,并形成數(shù)據(jù)線、存儲電容和信號線的過程。

  8.1.54清洗 cleaning

  清除吸附在玻璃基板表面上的各種有害雜質或油污的工藝。

  8.1.55干法清洗 dry cleaning

  利用紫外線光清除基板表面有機污染物的清除方法。

  8.1.56聚酰亞胺取向劑涂覆 polyimidedirectioncoater process

  在薄膜晶體管液晶顯示器陣列玻璃基板和彩色濾光片玻璃基板上,通過旋轉涂敷和印刷方式形成液晶取向層的過程,又稱PI涂覆。

  8.1.57磨擦 rubbing process

  用摩擦輥上的絨毛對涂覆在兩片玻璃基板上的取向劑膜進行表面摩擦,形成具有一定方向溝槽的過程。

  8.1.58封框膠涂覆 sealmaterialcoating process

  制屏貼合前用絲網(wǎng)印刷技術或可滴涂方式,在玻璃基板上涂敷封框膠的工藝過程。

  8.1.59液晶滴入 one drop filling process(ODF)

  在彩膜基板的封框膠內(nèi),用多個針筒定量大密度地滴下液晶,利用液晶的表面張力流延使顯示區(qū)內(nèi)充滿液晶的過程。

  8.1.60成盒工藝 cell process

  將已制備好的薄膜晶體管液晶顯示器陣列玻璃基板和彩色濾光片玻璃基板組裝到一起,并在兩塊玻璃基板之間充入液晶材料形成液晶盒(屏),加上電場即可進行圖像顯示的過程。

  8.1.61 彩膜工藝 color filter process

  在透明基板上依規(guī)則排列紅、綠、藍三基色圖形的過程。

  8.1.62真空蒸鍍 vacuum evaporation

  在真空條件下,加熱蒸發(fā)鍍膜材料(或稱膜料)并使之氣化,使粒子飛至基板表面凝聚成膜的工藝方法,簡稱蒸鍍。

  8.1.63切割 cutting process

  將集成在一片玻璃基板上的多個半成品液晶顯示面板,切割成獨立的多個液晶顯示面板的工藝過程。

  8.1.64模組工藝 module process(LCM)

  將金屬外框、面板(屏)、驅動集成電路芯片、印制電路板、背光源組件等組裝成完整的顯示器件單元的工藝過程。

  8.1.65貼片前清洗 panel cleaning process

  偏光片貼附前,將液晶面板(屏)表面進行清洗的過程。

  8.1.66偏光片貼覆 polaroidattach

  在薄膜晶體管液晶顯示屏前后玻璃基板表面分別粘貼偏光片的過程。

  8.1.67顯示屏上芯片貼裝 chip on glass(COG)

  將驅動集成電路芯片直接貼裝到顯示屏玻璃邊緣引線上的安裝方法。

  8.1.68印制電路板上芯片貼裝 chip on board(COB)

  芯片被綁定在印制電路板上,再與液晶顯示面板外引線連接的安裝方式。

  8.1.69老化 aging process

  在指定的條件下,電子元器件的性能隨時間變化后達到基本穩(wěn)定的過程。

  8.1.70絲網(wǎng)印制 screen printing

  利用絲網(wǎng)鏤空版和印料,經(jīng)刮印得到圖形的方法,簡稱絲印法。

 ?、?電子材料生產(chǎn)工藝半導體材料

  8.1.71區(qū)熔法 floating zone fusion

  通過加熱線圈在生長的晶體與多晶原料之間,不斷地將多晶熔化,并使熔體按單晶的晶向不斷的冷卻生長,以得到所需晶體的方法。

  8.1.72 直拉法 czochralski crystal growth

  將籽晶探入熔體中,不斷旋轉提拉籽晶,依靠熔區(qū)中的溫度梯度生長單晶的方法。

  8.1.73 磁控直拉法 magnetic field czochralski method

  在直拉法生長工藝基礎上,對坩堝內(nèi)的熔體施加一強磁場,使熔體熱對流受到抑制,用于生長低氧濃度的直拉硅單晶的方法。

  8.1.74切片 cutting

  通過鑲鑄金剛砂磨料的刀片或鋼絲的高速旋轉、接觸、磨削作用,將硅錠定向切割成為符合規(guī)格要求的硅片的過程。

  8.1.75倒角 grinding

  對硅片邊緣進行磨削的加工過程。

  8.1.76磨片 grinding

  用磨片設備對基片兩面進行機械研磨,去除切片損傷層。

  8.1.78拋光 polishing

  利用拋光設備和磨料顆?;蚱渌麙伖饨橘|對基片材料表面進行的修飾處理,獲得光亮似鏡的表面的加工過程。

  8.1.79平整度 roughness

  基片材料表面上最高點與最低點的高度差,用總指示讀數(shù)表征。

  8.1.80翹曲度 warpage or warp

  基片材料中位面與中位面基準平面之間的最大和最小距離的差值,用于表述平面在空間中的彎曲程度。

  玻璃基板

  8.1.81熔融溢流法 fusion overflow process

  在熔窯末端設有特制供料道,使熔融玻璃液從供料道進入U形溢流槽,當溢流槽充滿時玻璃液便從溢流槽兩側自然外溢下流,在U形溢流槽的底部匯合成一體形成玻璃帶,在重力作用下繼續(xù)下落,再經(jīng)機械下拉輥拉引成超薄玻璃的方法。

  8.1.82浮法 floats Process

  熔融的玻璃液從熔窯內(nèi)連續(xù)流出后,漂浮在充有保護氣體的金屬錫液面上,形成厚度均勻、兩表面平行、平整的玻璃帶的玻璃成型方法。

  8.1.83配料 batching

  將兩種及以上的不同成分的原料按照一定的成分配比混合均勻的過程。

  8.1.84熔化 melting

  通過燃料燃燒對配合料加熱使其熔融成玻璃液的過程。

  8.1.85全氧燃燒 full oxygen combustion

  理論上采用純氧氣助燃的燃燒方式。

  8.1.86富氧燃燒 oxygen-enriched combustion

  采用含氧量大于20.93%的富氧空氣助燃的燃燒方式。

  8.1.87電助熔 electric boosting

  在火焰窯的熔化池底或側部插入若干電極并向電極供電,交流電通過電極在熔融玻璃液內(nèi)產(chǎn)生焦耳熱,以改善玻璃的熔制、澄清與對流的電輔助加熱方法。

  8.1.88成型 forming

  通過成型設備將玻璃由玻璃態(tài)物質制成具有一定形狀、體積坯件的過程。

  8.1.89冷加工 cold working

  玻璃成型后期的研磨、拋光等機械加工過程。

  8.1.90研磨 grinding

  用研磨工具和研磨劑,從工件上研去一層極薄表面層的精加工方法。

  陶瓷和磁性材料

  8.1.91 成型 forming

  陶瓷生產(chǎn)過程的一個重要步驟,將分散體系(粉料、塑性物料、漿料)轉變成為具有一定幾何形狀和強度的塊體,也稱素坯??煞譃楦煞ǔ尚秃蜐穹ǔ尚蛢煞N。

  8.1.92 燒結 sintering

  通過高溫處理,使胚體發(fā)生一系列物理化學變化,形成預期的礦物組成和顯微結構,從而達到固定外形并獲得所要求性能的工序。

  8.1.93 檢測 testing

  對陶瓷材料進行的性能檢測,包括目測、樣品的密度、相對致密性、表面氣孔率、燒結尺寸變化等。

  8.1.94 熔化fusion

  在密閉、真空、充氮的條件下,通過高頻振蕩感應加熱使爐料熔化形成合金的過程。

  8.1.95 合金錠澆鑄 cast alloy ingot

  將熔化產(chǎn)生的合金熔液倒入水冷模中澆鑄,使合金液迅速凝固形成合金錠的過程。

  8.1.96 真空速凝vacuum setting

  在密閉、真空、充氬的條件下,通過高頻振蕩感應加熱使母合金錠進行二次高溫熔化成液體,將熔體噴射到高速轉動的軋輥的表面,經(jīng)過極速冷卻(真空速凝)形成非晶或微晶的合金晶片的過程。

  8.1.97 整形 shaping

  將真空速凝產(chǎn)生的合金晶片在充氬氣保護狀態(tài)下,通過機械振動進行機械破碎,整形形成大小較均勻的合金晶片的過程。

  8.1.98晶化 crystallization

  在充氬氣保護狀態(tài)下,將合金晶片在真空晶化爐中升溫進行晶化處理后,得到磁性材料產(chǎn)品的過程。

  光纖

  8.1.99套管法 rod in tube(RID)

  將氣相沉積工藝制成的芯棒置入一根做光纖外包層的高純石英玻璃管內(nèi)制造大預制棒的技術。

  8.1.100等離子噴涂法 plasma spray

  用高頻等離子焰,將石英粉末熔制于氣相沉積工藝得到的芯棒上制成大預制棒的技術。

  8.1.101溶膠-凝膠法 sol-gel

  采用溶膠-凝膠工藝制成合成石英管作為套管,再用套管法制成大預制棒的方法;或采用溶膠--凝膠工藝制成合成石英粉末,再用高頻等離子焰將合成石英粉末熔制于芯棒上制成大預制棒的方法。

  8.1.102火焰水解法 flame hydrolyzing process

  將管外氣相沉積、軸向氣相沉積等火焰水解外沉積工藝在芯棒上進行應用的方法。

  8.1.103管外氣相沉積 outside vapour deposition(OVD)

  通過氫氧焰或甲烷焰中攜帶的四氯化硅等氣態(tài)鹵化物產(chǎn)生“粉末”,一層一層沉積獲得芯玻璃的工藝。

  8.1.104軸向氣相沉積 vapour axial deposition(VAD)

  化學反應機理與管外氣相沉積工藝相同,但由下向上垂直軸向生長預制棒的沉積工藝。

  8.1.105改進的化學氣相沉積 modified chemical vapour deposition(MCVD)

  以氫氧焰熱源發(fā)生在高純度石英玻璃管內(nèi)進行的氣相沉積工藝。

  8.1.106微波等離子化學氣相沉積 microwave plasma chemical vapor deposition(MPCVD)

  微波激活使反應氣體電離,帶電離子重新結合時釋放出的熱能熔化氣態(tài)反應物形成透明的石英玻璃沉積薄層的工藝。

  8.1.107熔縮 collapsing

  沿管子方向往返移動的石墨電阻爐將不斷旋轉的管子加熱到一定溫度,在表面張力的作用下,分段將沉積好的石英管熔縮成預制棒的過程。

  8.1.108拉絲 drawing

  將采用氣相沉積法和外包層技術結合制成的大光纖預制棒直徑縮小,且保持芯包比和折射率分布恒定的操作過程。

  8.1.109光纖著色 coloring optical fiber

  為在光纖接續(xù)、成端、使用時能識別其纖序,光纖成纜前在一次涂覆光纖上著色的過程。

  8.1.110光纖并帶 fiber ribbon

  光纖以全色譜或領示色譜排列,用丙烯酸樹脂固化集封成薄帶的工藝。

  8.1.111光纖二次被覆 secondary coating

  選用高分子材料聚對苯二甲酸丁二醇酯(PBT),在合理的工藝條件下,采用擠塑方法給光纖套上與光纖長度相等的松套管,同時在松套管中注入觸變型纖膏的過程。

 ?、?電池生產(chǎn)工藝鋰離子電池

  8.1.112 混料bland

  把電池活性材料和輔料在溶劑中進行高度分散形成非牛頓型高黏度流體的過程。

  8.1.113 涂布coating

  把料漿涂敷到集流體上,通過干燥劑去除溶劑的過程。

  8.1.114 輥壓roller press

  兩面涂敷涂層的極片被送入兩輥的間隙中,在軋輥線載荷的作用下涂層被壓實的過程。

  8.1.115 注液 fill

  向電池中注入電解液的過程。

  8.1.116 化成 formation

  對電池充放電的過程。

  薄膜太陽能電池

  8.1.117 激光刻線 laser scribe

  利用激光的能量將連續(xù)的膜層切割出精細的溝槽,以將膜層分割成獨立的窄條,形成單個電池之間的串聯(lián)連接結構的加工工藝。

  8.1.118 PVB膜層壓 polyvinyl butyral film lamination

  用成型、切割后的聚乙烯醇縮丁醛樹脂來實現(xiàn)薄膜太陽能電池極板和蓋板之間的粘合過程。

  8.1.119EVA膜層壓 ethylene-vinyl acetate copolymer film lamination

  用成型、切割后的乙烯-醋酸乙烯酯共聚物來實現(xiàn)薄膜太陽能電池極板和蓋板之間的粘合過程。

  硅太陽能電池片

  8.1.120硅片制絨 silicon wafer texruting

  為了減少光的反射率,提高短路電流,以提高光電轉換效率,在單晶硅片或多晶硅片表面形成陷光結構的過程。

  8.1.121 擴散制結 diffusion

  利用擴散方法將摻雜劑引入硅片中,在硅片表面生成與硅片本身導電類型不一樣的擴散結的過程。

  Ⅴ 廢棄電器電子產(chǎn)品處理工藝8.1.122處理treatment

  把廢棄電器電子產(chǎn)品或者零部件加工處理得到可以作為資源直接使用的過程。包含對廢棄電器電子產(chǎn)品進行拆解、破碎和分選的活動。

  8.1.123貯存storage

  為收集、運輸、拆解、處理和處置之目的,在符合要求的特定場所暫時性存放廢棄電器電子產(chǎn)品的活動。

  8.1.124拆解disassembly

  通過人工或機械的方式將廢棄電器電子產(chǎn)品進行拆卸、解體,以便于處理和處置的活動。

  8.1.125破碎shredding

  對廢棄電器電子產(chǎn)品采取擠壓、剪切、撕裂、沖擊等機械方式進行處理的過程。

  8.1.126分選sorting

  通過風選、磁選、篩選、渦電流分選、人工分揀等方式將破碎后物料中的鋼鐵、有色金屬、塑料、橡膠等材料進行分離的過程。

  8.1.127化學法chemical treatment

  通過化學反應或電化學方法處理廢棄電器電子產(chǎn)品,并對其進行資源回收的活動。

  8.1.128焚燒法incineration treatment

  利用高溫或燃燒使廢棄電器電子產(chǎn)品中金屬和非金屬物質分離,從中回收金屬的活動。

  8.1.129拆解產(chǎn)物disassembled products

  經(jīng)拆解后得到的所有零(部)件、元(器)件和材料等 (破碎分選處理后產(chǎn)生的可再利用材料和不可再利用殘余物。),包含一般拆解產(chǎn)物和危險廢物。

  8.1.130再生利用 recycling

  對廢棄電器電子產(chǎn)品進行處理,使之能作為原材料重新利用的過程。

 ?、?其他相關工藝設計8.1.131線寬 critical dimension

  所加工的集成電路圖形中的最小物理尺寸,是表述集成電路工藝的關鍵尺寸,又稱最小特征尺寸。

  8.1.132工藝設計 process design

  對產(chǎn)品生產(chǎn)的方式、方法進行設計和規(guī)劃的過程。

  8.1.133工藝條件 process requirements

  因生產(chǎn)工藝及其設備需要,對土建、機電等有關專業(yè)提出的設計要求。

  8.1.134工廠布置 facility layout

  在整個工廠層面上對生產(chǎn)場所、活動、人員及設備做出的空間安排。

  8.1.135工藝流程processflow

  從原材料到半成品或成品過程中,由若干單元工藝或單元操作組成的相互聯(lián)系的生產(chǎn)流程。

  8.1.136工藝區(qū)劃 process division

  生產(chǎn)過程中主要工藝單元的平面功能分區(qū)。

  8.1.137工藝設備布置 process equipment layout

  根據(jù)工藝設備數(shù)量、設備選型,進行生產(chǎn)車間內(nèi)工藝設備的平面布局設計。

  8.1.138 工藝設備動力用量表utilitymatrix

  工藝設備生產(chǎn)過程中所需要的各種電力、給水、特氣、化學品等使用量以及廢水、廢氣、廢液等廢物排放量的綜合表。

  8.1.139港灣式布置法 bay-chase type layout

  把工藝聯(lián)系緊密的一組設備相向布置在一條通道兩側,形成一個生產(chǎn)單元(Bay),然后將多個生產(chǎn)單元分別排布在一條主通道的二側,使每個單元內(nèi)的通道沿主通道的垂向布置,從而形成的生產(chǎn)線的工藝布置方法。

  8.1.140小島布置法 island layout

  按生產(chǎn)單元在生產(chǎn)廠房中劃分為形似島嶼的功能區(qū)的布置方法。又稱單元布置法。

  8.1.141 自動物料搬運系統(tǒng)auto matic material handling system(AMHS)

  電子器件制造過程中用于搬運物料的自動化系統(tǒng)裝置,又稱天車系統(tǒng)。

  8.1.142 設備維修區(qū)equipment maintenance area

  工藝設備主體所在的區(qū)域統(tǒng)稱為設備維修區(qū)。

  8.1.143 設備操作區(qū)equipment operation area

  工藝設備前操作區(qū)域統(tǒng)稱為設備操作區(qū)。

  8.2 潔凈技術8.2.1潔凈室clean room

  空氣懸浮粒子濃度、微生物限度受控的房間。

  8.2.2潔凈區(qū) clean zone

  空氣懸浮粒子濃度受控的限定空間。

  8.2.3潔凈工作區(qū) clean working area

  除工藝特殊要求外,潔凈室內(nèi)距離地面高度0.8m~1.5m的區(qū)域。

  8.2.4人身凈化用室 room for cleaning human body

  人員在進入潔凈室(區(qū))之前按一定程序進行凈化的房間。

  8.2.5物料凈化用室 room for cleaning material

  物料在進入潔凈室(區(qū))之前按一定程序進行凈化的房間。

  8.2.6 風淋室 air shower

  利用高速潔凈氣流吹落并清除進入潔凈室人員表面附著粒子的小室。

  8.2.7氣閘室 air lock

  設置在潔凈室出入口,阻隔室外或鄰室污染氣流和壓差控制而設置的緩沖間。

  8.2.8傳遞窗 pass box

  在潔凈室隔墻上設置的傳遞物料和工器具的窗口。兩側裝有不能同時開啟的窗扇。

  8.2.9潔凈工作臺 clean bench

  能保持操作空間所需潔凈度的工作臺。

  8.2.10潔凈工作服 clean working garment

  為把工作人員產(chǎn)生的粒子限制在最低程度所使用的發(fā)塵量少的潔凈服裝。

  8.2.11工藝管道 process pipe

  潔凈室內(nèi)直接服務于工藝設備的氣體、液體和排風管道,統(tǒng)稱為工藝管道。

  8.2.12技術夾層 technical mezzanine

  潔凈室中以水平構件分隔構成的空間,用于安裝輔助設備和公用動力設施以及管線等。

  8.2.13技術夾道 technical tunnel

  潔凈室中以垂直構件分隔構成的廊道,用于安裝輔助設備和公用動力設施以及管線等。

  8.2.14技術豎井 technical shaft

  潔凈廠房中用于安裝輔助設備和公用動力設施以及管線等,主要以垂直構件分隔構成的井式管廊。

  8.2.15金屬壁板 metal stave sheet

  在兩層金屬鋼板之間填充一定厚度保溫絕熱材料組合而成的壁板。

  8.2.16潔凈室建筑裝飾 clean room construction decoration

  對潔凈室吊頂、墻板和地板(含回風地板)傳遞窗、風淋室等系統(tǒng),以及潔凈室空間建筑面層的施工。

  8.2.17微粒子microparticle

  當量直徑大于5μm的粒子。

  8.2.18懸浮粒子 airborne particle

  用于空氣潔凈度分級的空氣中懸浮粒子尺寸范圍在0.1μm~5μm的固體和液體粒子,但不適用于表征懸浮粒子的物理性、化學性、放射性及生命性。

  8.2.19超微粒子 ultrafine particle

  當量直徑小于0.1μm的粒子。

  8.2.20粒徑 partical size

  給定的粒徑測定儀所顯示的、與被測粒子的響應量相當?shù)那蛐误w直徑。

  8.2.21粒徑分布 particle size distribution

  粒子粒徑的頻率分布和累積分布,粒徑的函數(shù)。

  8.2.22含塵濃度 particle concentration

  單位體積空氣中懸浮粒子的顆數(shù)。

  8.2.23潔凈度 cleanliness

  以單位體積空氣某粒徑粒子的數(shù)量來區(qū)分的潔凈程度。

  8.2.24 潔凈度等級 classification

  潔凈室內(nèi)懸浮粒子潔凈度的水平。給出規(guī)定粒徑粒子的最大允許濃度,用每立方米空氣中的粒子數(shù)量表示。

  8.2.25空氣分子污染 airborne molecular contamination(AMC)

  以氣態(tài)或汽態(tài)存在于潔凈室(區(qū))中,可危害潔凈室(區(qū))中產(chǎn)品、工藝或設備的分子(化學的、非顆粒的)物質,又稱空氣化學污染。

  8.2.26微環(huán)境 minienvironment

  將產(chǎn)品生產(chǎn)過程與操作人員、污染物進行嚴格分隔的隔離空間。

  8.2.27氣流流型 air flow pattern

  對室內(nèi)空氣的流動形態(tài)和分布進行合理設計。

  8.2.28單向流 unidirectional airflow

  通過潔凈室整個斷面的風速穩(wěn)定、大致平行的受控氣流。

  8.2.29垂直單向流 vertical unidirectional flow

  與水平面垂直的單向流。

  8.2.30水平單向流 horizontal unidirectional flow

  與水平面垂直的單向流。

  8.2.31非單向流 non-unidirectional flow

  送入潔凈室的送風以誘導方式與室內(nèi)空氣混合的氣流分布類型。

  8.2.32混合流 mixed airflow

  單向流和非單向流組合的氣流。

  8.2.33空態(tài) as-built

  設施已經(jīng)建成,其服務動力公用設施區(qū)接通并運行,但無生產(chǎn)設備、材料及人員的狀態(tài)。

  8.2.34靜態(tài) at-rest

  設施已經(jīng)建成,生產(chǎn)設備已經(jīng)安裝好,并按供需雙方商定的狀態(tài)運行,但無生產(chǎn)人員的狀態(tài)。

  8.2.35動態(tài) operational

  設施以規(guī)定的方式運行,有規(guī)定的人員在場,并在商定的狀態(tài)下進行工作。

  8.2.36凈化空調(diào)系統(tǒng) purification air-conditioning system

  保證潔凈室潔凈度、室內(nèi)溫度和濕度等各種要求的空調(diào)系統(tǒng)。

  8.2.37空氣過濾器 air filter

  為除去空氣中的懸浮粒子和微生物,保證送入潔凈室的空氣潔凈度,在送風系統(tǒng)中所安裝的各種空氣過濾裝置或配件。

  8.2.38高效空氣過濾器 high efficiency particulate air filter(HEPA)

  在額定風量下,對粒徑大于或等于0.3um粒子的捕集效率在99.9%以上的空氣過濾器。

  8.2.39超高效空氣過濾器 ultra low penetration air filter(ULPA)

  在額定風量下,對粒徑0.1μm~0.2μm粒子的捕集效率在99.999%以上的空氣過濾器。

  8.2.40風機過濾器機組 fan filter unit(FFU)

  由高效空氣過濾器或超高效空氣過濾器與風機組合在一起,構成自身可提供動力的末端空氣凈化的裝置。

  8.2.41化學過濾器 chemicalfilter

  為除去空氣中的化學污染物和分子級污染物,滿足工藝生產(chǎn)的微細化、精密化和高純要求,在潔凈室送風系統(tǒng)中專門設置的過濾裝置。

  8.2.42化學過濾機組 chemicalfilterunit

  為除去空氣中的高濃度的化學污染物和分子級污染物,滿足工藝生產(chǎn)的微細化、精密化和高純要求,在潔凈室回風系統(tǒng)中專門設置的過濾裝置。

  8.2.43高效過濾器密封 highly effective filter sealing

  為防止固體微粒從相鄰結合面侵入潔凈室空氣系統(tǒng)而采用高效過濾器進行密封的方式。

  8.2.44液槽密封 fluid bath seal

  在高效過濾器與其周邊框架之間采用液體進行密封的方式。

  8.2.45漏風量 air system leakage ratio

  風管系統(tǒng)中,在某一靜壓下通過風管、附件及其接口,在單位時間內(nèi)泄漏或滲入的空氣體積量。

  8.2.46檢漏試驗 leakage test

  檢查空氣過濾器及其與安裝框架連接部位等密封性的試驗。

  8.2.47已裝過濾器檢漏 installed filter system leakage test

  為確認過濾器安裝良好、沒有向潔凈室(區(qū))的旁路滲漏,過濾器及其框架均無缺陷和滲漏所做的檢測。

  8.2.48潔凈室空吹 clean room air blowing

  潔凈室所有公用動力設施和管線完成單機試車后,在安裝高效過濾器和工藝設備前對凈化空調(diào)設備和風管開機送風,吹去空調(diào)設備和風管內(nèi)的浮塵的活動。

  8.2.49自凈時間 cleanliness recovery characteristic,self-cleaning time

  潔凈室被污染后,凈化空調(diào)系統(tǒng)開始運行至潔凈室恢復到穩(wěn)定的規(guī)定室內(nèi)潔凈度等級的時間。

  8.2.50潔凈室施工環(huán)境溫度 clean room construction ambient temperature

  潔凈室各種施工材料和設備管線施工安裝所要求的施工環(huán)境溫度。

  8.3 微振動8.3.1 微振動 microvibration

  影響精密設備及儀器正常運行的振動幅值較低的環(huán)境振動。

  8.3.2環(huán)境振動 ambient vibration

  建筑場地或建筑物在內(nèi)外各種振源影響下的振動。

  8.3.3常時微動 usual ambient microvibration

  正常生產(chǎn)、生活狀態(tài)下場地或建筑物的環(huán)境振動。

  8.3.4振動荷載 vibration load

  隨時間變化的作用力。

  8.3.5 振動源強 vibration source intensity

  振動源強度物理量的大小。

  8.3.6 振動響應 vibration response

  振動作用時,受振體輸出的振動物理量示值。

  8.3.7容許振動值 vibration limit

  保證正常工作時,設備和儀器支承結構處的最大振動量值。

  8.3.8 振動控制vibration control

  對振源、振動傳遞路徑、受振體采取降低振動響應的措施。

  8.3.9主動隔振 active vibration isolation

  對振動源采取的隔振措施。

  8.3.10被動隔振 passive vibration isolation

  為減小環(huán)境振動對精密設備及儀器的影響而對其采取的隔振措施。

  8.3.11 隔振對象 vibration isolated object

  需要采取隔振措施的發(fā)振體、受振體。

  8.3.12隔振器 vibration isolator

  具有衰減振動功能的支承元件。

  8.3.13阻尼器damper

  用能量損耗的方法減小振動幅值的裝置。

  8.3.14隔振裝置 vibration isolation mounting

  由隔振器、阻尼器、調(diào)節(jié)閥、控制器及信號處理器等組成的隔振組合體。

  8.3.15隔振系統(tǒng) vibration isolation system

  由被隔振對象、臺板、隔振器或隔振裝置組成的系統(tǒng)。

  8.3.16隔振體系 vibration isolation institution

  由隔振對象和隔振系統(tǒng)組成的體系。

  8.3.17 智能隔振 intelligent vibration isolation

  具有預先設置并通過自身反饋系統(tǒng)獲取信號,使隔振裝置實時施加反向作用降低振動影響,保證設備正常工作的隔振體系。

  8.3.18 屏障隔振 barrier vibration isolation

  在振動傳遞路徑中設置屏障以減弱地面振動傳遞的影響。

  8.3.19減振基礎 vibration reduction foundation

  具有主動隔振、被動隔振作用的設備基礎。

  8.3.20防微振基臺 anti-microvibration base

  由臺板和支撐結構組成的有隔振作用的結構體系。

  8.3.21 防微振墻 microvibration isolation wall

  在建筑結構中設置的減弱振動影響的墻體。

  8.3.22 華夫板 waffle slab

  垂直單向流潔凈室生產(chǎn)層鋼筋混凝土多孔樓板。

  8.3.23浮筑板 floating floor

  在基礎底板或樓板上設置減振元件,再鋪筑樓板,使之與主體結構非剛性連接,可以降低主體結構的振動。

  8.3.24 防微振設計 anti-microvibration design

  為將環(huán)境振動影響控制在精密設備及儀器容許振動值范圍內(nèi),在工程設計規(guī)劃、建筑結構設計與隔振設計等方面采取的綜合措施。

  8.3.25防微振底板anti-microvibration base floor

  為防微振設計的基礎底板。

  8.3.26建筑結構防微振體系structural microvibration control system

  為保證精密設備及儀器正常運行,采取減弱環(huán)境振動影響的綜合建筑結構措施。

  8.4 電磁屏蔽8.4.1 電磁環(huán)境 electromagnetic environment

  給定場所的所有電磁現(xiàn)象的總和。

  8.4.2 電磁干擾electromagnetic interference

  任何能中斷、阻礙、降低電子和電氣類設備有效性能的電磁效應。

  8.4.3電磁屏蔽 electromagnetic shielding

  用導電或導磁材料結構體衰減電磁波向指定區(qū)域傳輸?shù)拇胧?/p>

  8.4.4電磁屏蔽室 electromagnetic shielding room

  采用電磁屏蔽和其它技術建造的,在關閉狀態(tài)下能對內(nèi)外電磁環(huán)境實現(xiàn)一定程度隔離的房間。

  8.4.5電磁屏蔽門electromagneticshieldingdoor

  用于人員、設備出入,具有電磁隔離作用的屏蔽室的門。

  8.4.6屏蔽效能 shielding effectiveness

  在特定頻率下的屏蔽體的屏蔽性能指標的定量描述,通常以分貝表示。

  8.4.7檢漏 leak detection

  對屏蔽體焊縫的電磁屏蔽性能進行檢查的活動。

  8.4.8電磁波暗室 electromagnetic(EM) wave nechoic chamber

  采用電磁波能量吸收技術建造的房間。

  8.4.9 暗室靜區(qū) quiet zone of anechoic enclosure

  暗室內(nèi)電磁信號和電磁背景雜波均能滿足受試設備性能測試要求的空間區(qū)域。

  8.4.10 靜區(qū)靜度 performance of quiet zone

  靜區(qū)范圍內(nèi)電磁背景雜波電平與測試信號電平比值的對數(shù)值,單位是分貝(dB)。

  8.5防輻射8.5.1防輻射radiation protection

  包括但不限于防電磁輻射、防電離輻射、防聲頻(超聲波、次聲波)輻射、防光(紅外線、紫外線、高強度可見光)輻射等。

  8.5.2工程防輻射engineering radiation protection

  在工程建設的全生命周期中,采用的防輻射設施和技術管理措施,用以預防或防治各種輻射對人體健康安全造成的潛在或明確危害。

  8.5.3輻射源 radiation source

  能產(chǎn)生某種形式輻射的物質、設備、裝置或系統(tǒng)。

  8.5.4電磁輻射 electromagnetic radiation

  以電磁波形式通過空間傳播的能量流,且限于非電離輻射。

  8.5.5電離輻射 ionizing radiation

  一切能引起物質電離的輻射總稱。

  8.5.6防輻射技術措施 radiation protection measures

  對存在電磁輻射、電離輻射、聲輻射以及光輻射等危害的工程,當防輻射對象中有超過國家相關法規(guī)規(guī)定的限值時,必須采取相關防輻射的工程技術手段。

  8.5.7防護工藝protection process

  防輻射技術措施中所涉及到的工程方法和過程。

  8.6防靜電8.6.1工程防靜電 engineering antistatic

  為實現(xiàn)靜電安全防護目的,新建、改建、擴建的防靜電工程以及與之配套的防靜電資材項目的總稱。

  8.6.2防靜電工作區(qū)或靜電防護區(qū) electrostatic discharge protected area(EPA)

  配備各種防靜電裝備(用品)和設置接地系統(tǒng)(或等電位連接),能限制靜電電位、具有確定邊界和專門標記的場所。

  8.6.3靜電放電 electrostatic discharge(ESD)

  兩個具有不同靜電電位的物體,由于直接接觸或者靜電場感應引起的兩物體之間靜電電荷的快速轉移。

  8.6.4靜電放電敏感 electrostatic discharge sensitive(ESDS)

  產(chǎn)品性能受靜電放電影響或損壞的敏感性,簡稱靜電敏感。

  8.6.5靜電危害 electrostatic harm

  因靜電放電或靜電場的作用導致火災爆炸、妨礙生產(chǎn)、影響產(chǎn)品質量、造成電子元器件損壞、引起電子元器件的誤動作和產(chǎn)生人體電擊以及由此造成二次事故等有害后果的統(tǒng)稱。

  8.6.6靜電中和 electrostatic dissipation

  帶電體上的電荷與其內(nèi)部或外部異性電荷的結合而使所帶靜電電荷部分或全部消失的現(xiàn)象。

  8.6.7靜電防護 electrostatic protection

  為防止靜電放電所采取的各種技術方法或防護措施。

  8.6.8防靜電接地 electrostatic grounding

  防靜電材料或防靜電制品通過導體與大地在電氣上做可靠連接,使其與大地的電位相近,并提供泄露電荷的通道。

  8.6.9防靜電接地系統(tǒng) electrostatic discharge(ESD) grounding system

  使靜電泄放到大地而配置的接地線分支系統(tǒng),也稱ESD接地系統(tǒng)。

  8.6.10離子化靜電消除器 ionizing static eliminator

  為中和帶電體上的表面異性電荷,利用空氣電離產(chǎn)生正負離子的靜電消除裝置的統(tǒng)稱。

  8.6.11防靜電材料antistatic material

  能夠免受靜電場的影響,防止產(chǎn)生摩擦電壓,或者能夠防止與帶電人體或與帶電物體接觸而產(chǎn)生靜電放電的材料。

  8.6.12靜電耗散型材料electrostatic dissipative material

  因泄放能量使靜電荷部分或全部消失的材料。

  8.6.13 導靜電型材料 static conductive material

  能直接快速轉移靜電荷的材料。

  8.6.14表面電阻 surface resistance

  在材料同一表面上,相接觸的兩個規(guī)定形狀的電極間施加的直流電壓與流過兩電極間的穩(wěn)態(tài)電流之商。

  8.6.15表面電阻率 surface resistivity

  沿試樣表面電流方向的直流電場強度與單位長度的表面?zhèn)鲗щ娏骶€密度之比。

  8.6.16體積電阻 volume resistance

  在材料相對的兩表面上,放置的兩個規(guī)定形狀的電極間施加的直流電壓與流過兩電極間的穩(wěn)態(tài)電流之商。

  8.6.17體積電阻率 volume resistivity

  沿物體體積電流方向的直流電場強度與該處的電流面密度之比。

  8.6.18對地電阻 resistance to earth

  在被測物體表面一點對接地連接點或防靜電接地裝置之間的電阻。

  8.6.19軟接地 soft grounding

  通過足夠的阻抗接地,把電流限制在5mA的人身安全電流以下。

  8.6.20間接接地 indirect grounding

  為使非金屬物體進行靜電接地,將其表面的全部或局部與接地的金屬體緊密接觸的一種接地方式。

  8.6.21防靜電接地電阻 electrostatic grounding resistance

  從防靜電對象接地連接點至接地體,包括接地支線、接地干線和接地體電阻的總和。

  8.7電子工業(yè)純水8.7.1 電子工業(yè)純水 pure water for electronic industry

  根據(jù)生產(chǎn)需要,去除生產(chǎn)所不希望保留的各種離子以及其他雜質的水。

  8.7.2電子工業(yè)純水系統(tǒng) pure water system for electronic industry

  制取和配送用于電子工業(yè)生產(chǎn)純水的系統(tǒng)。

  8.7.3超純水 ultrapure water

  采用物理、化學方法,將水中的電解質和非電解質幾乎全部去除,水的電阻率在18.MΩ.cm以上(25℃時),并且水中的微生物、溶解氧、顆粒物等均需要嚴格控制的水。

  8.7.4軟化水 soft water

  除掉部分或全部鈣、鎂離子等后的水。

  8.7.5 反滲透水reverse osmosis(RO)water

  通過反滲透膜分離技術有效地去除水中的溶解鹽類、膠體、微生物、有機物等得到的水。

  8.7.6去離子水 deionized water

  經(jīng)過陰、陽離子交換柱后,陰、陽離子雜質均已除去的水。

  8.7.7淤塞指數(shù) silt density index(SDI)

  保證反滲透正常運行的進水水質重要指標,它通過被測水樣對0.45μm濾膜的淤塞程度間接表征造成反滲透膜面堵塞的水中微量懸浮物、膠體的含量,又稱污染指數(shù)FI。

  8.7.8電阻率 resistivity

  度量水溶液阻止電流通過的能力,等于在一定溫度下,一對截面積為1cm2的電極在l cm距離間的電阻值,其單位為Ω·cm或MΩ·cm。

  8.7.9電導率 conductivity

  度量水溶液導電的能力,等于電阻率的倒數(shù),其單位為μs/cm或s/cm。

  8.7.10總有機碳 total organic carbon(TOC)

  水中溶解性和懸浮性有機物中碳的總量,反映水中有機物含量的指標。

  8.7.11 溶解氧 dissolved oxygen(DO)

  溶解在水中的分子態(tài)氧。

  8.7.12 砂濾sand filtration

  以天然石英砂、錳砂和無煙煤作為濾料的水過濾處理的工藝過程。

  8.7.13微濾 microfil1ration(MF)

  在外壓作用下,利用篩網(wǎng)狀過濾介質膜的“篩分”作用進行分離的膜分離技術。

  8.7.14 超濾 ultrafiltration(UF)

  在外壓作用下,利用非對稱性膜去除水中亞微米懸浮物的膜分離技術。

  8.7.15反滲透 reverse osmosis(RO)

  在外壓作用下,利用一種半透性薄膜使水分子和其他一些物質選擇性透過,將絕大部分懸浮物和絕大部分溶解固形物(鹽)截留去除的膜分離技術。

  8.7.16電脫鹽 electrodeionization(EDI)

  利用裝填陽、陰混合離子交換樹脂或離子交換無紡布,在直流電場作用下連續(xù)去除水中離子而不需要專門再生的除鹽裝置的統(tǒng)稱。

  8.7.17 純水拋光purity waterpolishing

  為使純水在工藝設備用水之前保證水質要求,進一步去除水中的離子、總有機碳(TOC)、溶解氧(DO)、顆粒物的一系列工藝過程。

  8.7.18離子交換 ion exchange

  采用離子交換劑去除水中某些鹽類離子的方法。

  8.7.19紫外線殺菌 UV sterilization

  通過波長254nm的紫外線照射殺滅水中的活菌的過程。

  8.7.20紫外線除有機碳 UV-TOC Removal

  通過波長185nm的紫外線照射分解純水中的微量總有機碳的過程。

  8.7.21再生 regeneration

  使用再生劑洗脫被交換離子,使交換后的離子交換樹脂恢復到原型態(tài)交換能力的工藝過程。

  8.7.22膜脫氣裝置 membrane degasifier(MDG)

  利用膜分離技術降低水中揮發(fā)性溶解物質的裝置。

  8.7.23電滲析器 electrodialyzer

  利用離子交換膜和直流電場,使水中電解質的離子產(chǎn)生選擇性遷移,從而使水淡化的裝置。

  8.7.24后處理系統(tǒng) post-treatment system

  由超濾、精密過濾、紫外線殺菌及反滲透器等裝置組成,連接在除鹽系統(tǒng)后面的精處理系統(tǒng)。

  8.7.25供水環(huán)路 distribution loop

  為保證電子工業(yè)最終使用點的純水水質和水壓而采用的有附加循環(huán)水量的不間斷供水方式。

  8.8電子氣體和化學品8.8.1大宗氣體bulk gas

  空氣分離裝置或現(xiàn)場供氣裝置生產(chǎn)出來的氣體(氧氣、氮氣、氬氣),和其它大宗供應的通用工業(yè)氣體(氫氣、氦氣)。

  8.8.2特種氣體 specialtygas

  電子工廠電子產(chǎn)品生產(chǎn)中外延、化學氣相沉積、刻蝕、摻雜等工藝中使用的具有自燃性、易燃性、劇毒性、毒性、腐蝕性、氧化性、惰性等特殊氣體的統(tǒng)稱。

  8.8.3特種氣體系統(tǒng) specialty gas system

  特種氣體的儲存、輸送與分配過程的設備、管道和附件的總稱。

  8.8.4大宗特種氣體系統(tǒng) bulk specialty gas system

  一般指單個氣體設備容積大于400L的特種氣體儲存和送氣系統(tǒng)。

  8.8.5特種氣體站specialty gas station

  電子工廠放置臥式氣瓶、氣瓶集裝格、ISO標準集裝瓶組、長管拖車、尾氣處理裝置等氣體設備,并通過管道向用生產(chǎn)廠房氣設備輸送特種氣體的獨立建、構筑物。

  8.8.6特種氣體間 specialty gas room

  電子生產(chǎn)廠房放置特種氣瓶柜、氣瓶架、臥式氣瓶、氣瓶集裝格、尾氣處理裝置等氣體設備,并通過管道向生產(chǎn)設備輸送特氣的房間。

  8.8.7特種氣體間配送設施 specialty distribution facilities

  電子工廠中自燃性、易燃性、劇毒性、毒性、腐蝕性、氧化性、惰性等特殊氣體供應系統(tǒng)管道和設備的統(tǒng)稱。

  8.8.8 氣瓶柜 gas cabinet(GC)

  特種氣體使用的封閉式氣瓶放置與氣體輸送的設備。

  8.8.9氣瓶架 gas rack(GR)

  特種氣體使用的開放式氣瓶放置與氣體輸送的設備。

  8.8.10 特種氣體閥門箱 specialtygasvalve manifold box (VMB)

  特種氣體在輸送過程中使用的封閉式管道分配箱體,用于向一個或多個工藝設備提供特種氣體。

  8.8.11 閥門盤 valve manifold panel (VMP)

  特種氣體在輸送過程中使用的開放式管道分配裝置,用于向一個或多個工藝設備提供特種氣體。

  8.8.12 尾氣處理裝置 local scrubber

  對自燃性、易燃性、毒性、腐蝕性等氣體的排氣與吹掃氣體進行現(xiàn)場預處理并達到一定排放指標的裝置。

  8.8.13氣體探測系統(tǒng) gas detector system(GDS)

  設置在特種氣瓶柜、氣瓶架、閥門箱、閥門盤及其它特種氣體輸送設備與管道所覆蓋區(qū)域,通過檢測本質氣體或關聯(lián)氣體在空氣中的濃度來判斷本質氣體的泄漏,從而發(fā)出聲光報警信號、提供探測數(shù)據(jù)的系統(tǒng)。

  8.8.14氣體管理系統(tǒng) gas management system(GMS)

  特種氣體探測系統(tǒng)、應急處理系統(tǒng)、工作管理系統(tǒng)、監(jiān)視系統(tǒng)、數(shù)據(jù)傳輸/儲存與處理系統(tǒng)的氣體管理與控制系統(tǒng)的統(tǒng)稱。

  8.8.15 氣體吹掃 gas purge

  用氮氣或惰性氣體對特種氣體系統(tǒng)內(nèi)的本質氣體或工作氣體進行置換的過程。

  8.8.16 排氣 vent

  特種氣體設備與系統(tǒng)中排出本質氣體或工作氣體的活動。

  8.8.17 不相容性 incompatible

  不同氣體混合后即發(fā)生化學反應,釋放出能量并對環(huán)境產(chǎn)生危害作用的特性。

  8.8.18 爆炸濃度下限值 low explosion limit(LEL)

  易燃性氣體在空氣或氧化氣體中發(fā)生爆炸的濃度最低值。

  8.8.19 最高允許濃度值 threshold limit value(TLV)

  工作人員充分且持續(xù)暴露于該環(huán)境中健康不會受到損害的毒性氣體濃度的最大值。

  8.8.20化學品 chemical

  電子工廠生產(chǎn)中濕法刻蝕、光刻、化學機械拋光等生產(chǎn)工藝中使用的酸性化學品、堿性化學品、溶劑化學品、研磨液化學品、特種化學品的統(tǒng)稱。

  8.8.21 化學品供應系統(tǒng) chemical supply system

  電子工廠中酸堿化學品、溶劑化學品、研磨液化學品供應系統(tǒng)管道與設備的統(tǒng)稱。

  8.8.22化學品回收系統(tǒng)chemical reclaiming system

  電子工廠中酸堿化學品、溶劑化學品、研磨液化學品回收系統(tǒng)管道與設備的統(tǒng)稱。

  8.8.23 化學廢液收集系統(tǒng) waste chemical collection system

  電子工廠中廢酸堿化學品、溶劑化學品、研磨液化學品收集系統(tǒng)管道與設備的統(tǒng)稱。

  8.8.24化學品儲存、分配間 chemical storage and distribution room

  生產(chǎn)廠房內(nèi)或獨立建(構)筑物內(nèi)用于布置化學品儲存、分配設備與系統(tǒng)的房間的統(tǒng)稱。

  8.8.25 化學品槽車 chemical lorry

  電子工廠中用于運送大用量化學品的專業(yè)車輛。

  8.8.26化學品桶槽 chemical container

  電子工廠中用于儲存化學品的小容積容器,放置在化學品儲存、分配間的化學品單元內(nèi)部。

  8.8.27化學品儲罐 chemical storage tank

  用于儲存化學品的大容積容器,固定布置在化學品儲存、分配間內(nèi)。

  8.8.28化學品閥門箱 chemicalvalve manifold box (VMB)

  化學品通過供應管道可同時供應兩臺或以上的生產(chǎn)設備的閥門操作箱。

  8.8.29取樣閥箱 sampling Box (SB)

  化學品管道上用于化學品取樣的閥門箱體。

  8.8.30擴充閥箱 future tee box(FTB)

  化學品供應系統(tǒng)中用于系統(tǒng)擴充的設置于系統(tǒng)末端的閥箱。

  8.8.31 化學品稀釋單元 chemical dilution unit(CDU)

  化學品系統(tǒng)中用純水稀釋高濃度化學品設備的統(tǒng)稱。

  8.8.32 化學品混合單元 chemical Mixing unit

  化學品系統(tǒng)中作為混合兩種或以上化學品設備的統(tǒng)稱。

  8.8.33化學品供應單元 chemical transfer unit

  向工廠工藝系統(tǒng)供應化學品的設備。

  8.8.34漏液探測器leakage detector

  用于探測化學品單元、化學品儲罐及化學品閥門箱等設備發(fā)生化學品泄漏并給出信號的裝置。

  8.8.35 液位探測器level sensor

  用于探測化學品儲罐、化學品單元內(nèi)桶槽的液位的測量裝置。

  8.8.36 火焰探測器ultraviolet rays &infra-red rays(UV/IR)

  可燃化學品發(fā)生泄漏起火時,探測所產(chǎn)生紅外線或是紫外線的輻射熱感應裝置。

  8.8.37化學品監(jiān)控系統(tǒng) chemical monitor and control system

  用來對化學品供應系統(tǒng),化學品回收系統(tǒng)實現(xiàn)監(jiān)控、顯示、報警、數(shù)據(jù)收集等功能的遠程監(jiān)控系統(tǒng)。

  8.9廢氣、廢水和固廢8.9.1有毒廢氣 venomousexhaust

  生產(chǎn)工藝過程中排放的對人體產(chǎn)生危害,能致人中毒的神經(jīng)性麻痹、呼吸系統(tǒng)麻痹、肌肉麻痹的廢氣。

  8.9.2酸性廢氣 acidcontaminatedexhaust

  企業(yè)廠區(qū)內(nèi)燃料燃燒和生產(chǎn)工藝過程中產(chǎn)生的酸性污染物氣體的總稱。

  8.9.3堿性廢氣 alkalinecontaminatedexhaust

  生產(chǎn)工藝過程中產(chǎn)生的堿性污染物氣體的總稱。

  8.9.4特種廢氣 specialcontaminatedexhaust

  電子產(chǎn)品生產(chǎn)過程中化學氣相淀積、擴散、外延、離子注人、干法刻蝕等工藝設備散發(fā)的含有毒性、腐蝕性、氧化性、自燃性、可燃性、惰性等物質的廢氣。

  8.9.5全氟化合物 perfluorochemicals (PFCs)

  電子工業(yè)生產(chǎn)過程中化學氣相淀積、干法刻蝕等工序使用的氟化合物,包括全氟化合物和氫氟碳化物(HFCS)。

  8.9.6揮發(fā)性有機物 volatile organic compounds(VOCs)

  參與大氣光化學反應的有機化合物,或者根據(jù)有關規(guī)定確定的有機化合物。

  8.9.7揮發(fā)性有機廢氣 volatile organic compounds exhaust

  生產(chǎn)工藝過程中排放的含有揮發(fā)性有機物的廢氣。

  8.9.8苯類廢氣 benzenegenericexhaust

  生產(chǎn)工藝過程中排放的含有苯、甲苯、二甲苯等多種單環(huán)芳烴污染物的廢氣。

  8.9.9 砷烷 arsine

  砷化三氫,標準狀態(tài)下是一種密度高于空氣,可溶于水及多種有機溶劑的氣體,無色、有劇毒、可燃。在半導體工業(yè)中被廣泛用于合成各種有機砷化合物。

  8.9.10 磷烷 phosphine

  磷化氫,一種無色、劇毒、易燃的氣體。

  8.9.11鉛煙 lead breathing

  電子產(chǎn)品的含鉛生產(chǎn)工藝中排出的含鉛煙氣。

  8.2.12源頭處理 point of use treatment

  在排放有毒有害物質的工藝設備附近設置廢氣處理設備,對有毒有害物質就地進行的處理。

  8.9.13尾氣處理設備 point-of-useabatement(POU)

  安裝在工藝生產(chǎn)設備附近,并對其排出的尾氣進行處理的設備,也稱排風預處理設備或就地尾氣處理設備。

  8.9.14中央廢氣處理系統(tǒng)centralizedabatementsystem

  通常位于廢氣系統(tǒng)的末端,在廢氣排入大氣前對其所含的特定污染因子做最終的消減處理,并達到規(guī)定的排放濃度或標準的處理系統(tǒng)。

  8.9.15轉輪濃縮系統(tǒng)rotoo-concentratorsystem

  揮發(fā)性有機物廢氣通過轉輪的吸附區(qū)域后,其污染因子能被有效地吸附于轉輪的吸附材料中,并在轉輪的脫附區(qū)域被小流量高溫空氣脫附,使低濃度、大風量揮發(fā)性有機物廢氣濃縮為高濃度、小風量的揮發(fā)性有機物廢氣的系統(tǒng)。

  8.9.16蓄熱氧化系統(tǒng) regenerativethermaloxidizingsystem

  通過蓄熱床吸收高溫煙氣的熱量,并預熱未經(jīng)處理的低溫廢氣,吸熱升溫后的廢氣通過高溫裂解破壞其污染因子結構的系統(tǒng)。

  8.9.17填料洗滌式廢氣處理設備 packed-bedscrubber

  經(jīng)由填料增加氣液廢氣接觸面積的廢氣處理設備。

  8.9.18壓入式廢氣處理系統(tǒng) blow-throughabatementsystem

  風機位于廢氣處理設備氣流上游,廢氣處理設備相對于所處環(huán)境為正壓的處理系統(tǒng)。

  8.9.19吸入式廢氣處理系統(tǒng) drawingoutabatementsystem

  風機位于廢氣處理設備氣流下游,廢氣處理設備相對于所處環(huán)境為負壓的處理系統(tǒng)。

  8.9.20吹吸式廢氣處理系統(tǒng) blow-drawabatementsystem

  廢氣處理設備的進、出口均設置風機,廢氣處理設備相對于所處環(huán)境為微負壓或微正壓的處理系統(tǒng)。

  8.9.21 研磨廢水 grinding waste water

  研磨、拋光等工藝生產(chǎn)過程中排出的含有固體顆粒物或懸浮物的廢水。

  8.9.22 含鉻廢水 Cr- containing wastewater

  生產(chǎn)工藝過程中排放的含三價鉻、六價鉻的廢水。

  8.9.23含氟廢水 F-containing wastewater

  生產(chǎn)工藝過程中排放的含氟離子及其化合物的廢水。

  8.9.24有機廢水 organic wastewater

  生產(chǎn)工藝過程中排放的含有有機物質的廢水。

  8.9.25酸堿廢水 acidic& alkalinewastewater

  生產(chǎn)工藝過程中排放的呈酸性或堿性的廢水。

  8.9.26含砷廢水 As-containing wastewater

  生產(chǎn)工藝過程中排放的含有砷及其化合物的廢水。

  8.9.27 氨氮廢水a(chǎn)mmonia-nitrogen wastewater

  生產(chǎn)工藝過程中排放的含氨氮元素的廢水。

  8.9.28 重金屬廢水heavy metal wastewater

  生產(chǎn)工藝過程中排放的含重金屬(如含汞、鉛、鉻、鎘、鎳、鋅等)的廢水。

  8.9.29回用水 reclaimed water

  各種排水經(jīng)處理后達到規(guī)定的水質標準,用于產(chǎn)品生產(chǎn)、生活、環(huán)境等范圍內(nèi)的非飲用水。

  8.9.30 含銅污泥 copper sludge

  含銅廢水處理系統(tǒng)沉淀池排出的污泥。

  8.9.31 氟化鈣污泥 calcium fluoride sludge

  含氟廢水處理系統(tǒng)沉淀池排出的氟化鈣污泥。

  8.9.32 廢離子交換樹脂 waste ion exchange resin

  純水和回收水處理系統(tǒng)定期更換用于去除水中陰陽離子的樹脂。

  8.9.33 廢吸附過濾芯和反滲透膜 waste adsorption filer and reverse osmosis membrane

  純水和回用水處理系統(tǒng)定期更換的用于過濾去除水中雜質的過濾器濾芯和用于去除水中溶解鹽類的反滲透膜。

  8.9.34 溶劑空桶 solvent empty barrel

  盛光刻膠、顯影液和清洗液等溶劑的廢棄空桶

  8.9.35時間加權平均容許濃度permissibleconcentration-timeweightedaverage(PC-TWA)

  以時間為權數(shù)規(guī)定的8h工作日、40h工作周的平均容許接觸濃度。

  8.9.36短時間接觸容許濃度permissibleconcentration-shortterm exposurelimit(PC-STEL)

  在遵守PC-TWA前提下容許短時間(15min)接觸的濃度。

  8.9.37最高容許濃度maximumallowableconcentration (MAC)

  工作地點空氣中有害物質不應超過的濃度。

  8.10消音降噪8.10.1聲壓 sound pressure

  有聲波時介質中瞬時壓力超過靜壓力的值。

  8.10.2聲強 sound intensity,sound energy flax density

  衡量聲波在傳播過程中聲音強弱的物理量。

  8.10.3聲場 sound field

  媒質中有聲波存在的區(qū)域或有聲波存在的空間。

  8.10.4自由場 free sound field

  在均勻各向同性媒質中,反射聲可以忽略不計的聲場。

  8.10.5吸聲系數(shù) sound absorption coefficient

  入射聲能被材料表面或媒質吸收的百分數(shù)值。

  8.10.6 等效吸聲面積 equivalent absorption area

  面積乘以吸聲系數(shù)的值,又稱等效吸聲量,單位為m2。

  8.10.7房間吸聲量 room absorption

  房間內(nèi)各個表面和物體的總吸聲量加上房間內(nèi)媒質中的損耗。

  8.10.8吸聲材料 sound absorption material

  由多孔材料薄膜作用或共振作用,對入射聲能有吸收作用的材料。

  8.10.9背景噪聲 background noise

  來自被測聲源外的所有其他源的噪聲,又稱本底噪聲。

  8.10.10環(huán)境噪聲 ambient noise,environmental noise

  在某一環(huán)境下由多個不同位置的聲源產(chǎn)生的總的噪聲。

  8.10.11無規(guī)噪聲 random noise

  幅度、頻率、相位等沒有規(guī)律,瞬時值不能預先確定的聲震蕩。

  8.10.12降噪系數(shù) noise reduction coefficient(NRC)

  表示材料吸聲特性的數(shù)值。

  8.10.13倍頻程帶寬 octave bandwidth

  兩個比率為2的音頻之間的間隔。

  8.10.14隔聲量 acoustical reduction factor

  墻或其他構件一側的入射聲能與另一側的透射聲能相比的分貝數(shù)。

  8.10.15隔聲吸聲門 acousticl insulation and sound proof door

  對外阻隔外部噪聲傳入消聲室,對內(nèi)則吸收聲能并防止聲反射的門。

  8.10.16消聲器 muffler silencer

  具有吸音襯里或特殊形狀的氣流管道。

  8.10.17消聲室 anechoic room

  邊界有效吸收所有入射聲,使空間的中心部位形成自由聲場的房間。

  8.10.18多功能消聲室 multi-function anechoic room

  既可作為消聲室又可作為半消聲室使用的房間。

  8.10.19聲控室 sound control room

  控制電聲系統(tǒng)的監(jiān)控房間。

  9其他相關術語

  9.0.1模擬電路 analog circuits

  電參數(shù)在一定電壓、電流、功耗值范圍內(nèi)變化的一種電路。

  9.0.2數(shù)字電路 digital circuits

  在高電平和低電平兩種性質不同的電平信號下工作的電路。

  9.0.3潔凈室施工管理制度 clean room construction management system

  為滿足潔凈室施工質量,對施工全過程、各方面特殊要求的管理制度。

  9.0.4潔凈室成品保護管理制度 clean room end product protection control system

  對潔凈室的成品進行有效保護的管理制度。

  9.0.5凈化空調(diào)系統(tǒng)測試 purification air-conditioning system testing

  對凈化空調(diào)系統(tǒng)的各項潔凈指標進行的檢測。

  9.0.6管道系統(tǒng)清洗 equipment system cleaning

  采用水沖洗、化學清洗或其它方法清除管道系統(tǒng)內(nèi)部的污垢、銹蝕和雜物的作業(yè)。

  9.0.7管道系統(tǒng)嚴密性作業(yè)檢驗 equipment system hermeticness examination

  對管道系統(tǒng)內(nèi)的試驗介質施加高于工作壓力的規(guī)定壓力,在保持壓力的時間內(nèi)進行防漏性能的檢驗。

  9.0.8管道吹掃 pipeline blowing

  采用氣體或干燥壓縮空氣對管道進行的清潔工作。

  9.0.9管道純度測試 pipeline purity test

  對管道內(nèi)部的露點、塵埃和油分含量等進行的測試。

  9.0.10含水量(露點)測試 water content(dew point)test

  按相關的標準和方法,對氣體中的微粒水分進行的測試。

  9.0.11微粒濃度測試 particle density test

  按相關的標準和方法,對高純氣體、高潔凈氣體中的微粒含量(粒徑、濃度等)進行的測試。

  9.0.12潔凈室檢測項目 clean room examination items

  需要測定的滿足潔凈室正常運轉的各項性能參數(shù)。

  9.0.13綜合性能評定 overall performance evaluation

  由建設單位委托具備資格的第三方對潔凈室各方面性能進行評定的活動。

  9.0.14潔凈室認證 clean room authentication

  具備相應資格的機構,通過對潔凈室的綜合性能進行檢測和認證,判定其是否達到合格的綜合性能參數(shù)的過程。

  9.0.15極早期煙霧報警very early smoke detection apparatus

  通過空氣采樣管把保護區(qū)的空氣吸入探測器進行分析從而進行火災的早期預警。

  9.0.16廠務監(jiān)控系統(tǒng) facility monitoring control system(FMCS)

  將廠務設施各系統(tǒng)(水、電、氣化、機械等)的數(shù)據(jù)資料利用以太網(wǎng)、控制器、通訊設備等集成至中央監(jiān)控設備,形成的全廠監(jiān)控系統(tǒng)。

  9.0.17配管 piping

  工業(yè)建筑內(nèi)用以輸送、分配工藝用水、純水、各類氣體、化學品等的管路系統(tǒng)的管子、附件、管件、法蘭、螺栓連接件、墊片、閥門和其他組成件的組裝總稱。

  9.0.18二次配管配線 hook-up

  主廠務系統(tǒng)工程中連接于工藝設備機臺上的所有相關的水、電、氣、化學品等的配線、配管工程。

  9.0.19設備搬入時間 move in time

  潔凈室靜態(tài)運行時第一臺工藝機臺的搬入時間。

  索引

  中文索引

  英文索引

  中華人民共和國國家標準

  電子工業(yè)工程術語標準

  Engineering Terminology Standard of Electronics Industry

  GB/TXXXXX—2021

  條 文 說 明

  2 綜合性術語2.0.4輔助區(qū)

  包括進入生產(chǎn)車間前的更衣室、輔助工藝生產(chǎn)車間、原輔材料中轉庫房、實驗室、備品備件庫、維修室等區(qū)域。

  2.0.5支持區(qū)

  包括空調(diào)機房、變配電室、電池室、弱電間、純水拋光站、廢水提升站、特氣供應間、化學品供應間、廢液回收間、不間斷電源系統(tǒng)用房、柴油發(fā)電機房、消防控制室、消防設施用房等。

  2.0.6黃光區(qū)

  用于涂膠、曝光、顯影及某些對藍光或紫外線敏感的工藝。

  3電子元器件3.0.3印制電路板

  包括剛性板與撓性板,又可分為單面印制電路板、雙面印制電路板、多層印制電路板,以及剛撓結合印制電路板和高密度互連(high density interconnector,HDI)印制電路板等。

  3.0.5電子器件

  電子器件分為電真空器件、充氣管器件和固態(tài)電子器件。在模擬電路中作整流、放大、調(diào)制、振蕩、變頻、鎖相、控制、相關等作用;在數(shù)字電路中作采樣、限幅、邏輯、存儲、計數(shù)、延遲等用。充氣管器件主要作整流、穩(wěn)壓和顯示之用。固態(tài)電子器件如集成電路。

  3.0.6電子真空器件

  包括電子熱離子管、冷陰極管或光電陰極管及其他真空電子器件、電子管零件。

  3.0.7晶體管

  用于檢波、整流、放大、開關、穩(wěn)壓、信號調(diào)制和許多其它功能。目前晶體管分為兩大類:結型晶體管和場效應晶體管。結型晶體管工作時,半導體的電子和空穴兩張載流子同時起主要作用,又叫雙極型晶體管。場效應晶體管工作時,只有半導體中的多數(shù)載流子起主要作用,又叫單極晶體管。

  3.0.9雙極型晶體管 bipolar transistor

  一種電流控制器件,電子和空穴同時參與導電,屬于電流放大型晶體管。

  3.0.10金屬氧化物半導體場效應晶體管

  MOSFET是場效應管的一種,MOSFET中的電流主要由一種極性的載流子載運,是一種單極器件,MOSFET分為兩類,nMOS型和pMOS型,pMOS型以空穴為多數(shù)載流子參與載運;nMOS型是以電子為多數(shù)載流子參與載運。

  3.0.13雙極集成電路

  主要以硅材料為襯底,在平面工藝基礎上采用埋層工藝和隔離技術,以雙極型晶體管為基礎元件。特點為速度快、頻率高、閾值電壓低、穩(wěn)定性好、負載能力強,但功耗高、工藝較復雜,主要用于模擬集成電路。

  3.0.14 MOS集成電路

  MOS集成電路功耗低、集成度高,宜用作數(shù)字集成電路。

  3.0.15 CMOS集成電路

  具有微功耗、高噪聲容限、寬工作電壓范圍、高邏輯擺幅、高輸入阻抗、高扇出能力、低輸入電容、寬工作溫度范圍等特點。

  3.0.16BiCMOS集成電路

  特點是將雙極(Bipolar)工藝和CMOS工藝兼容,在同一芯片上以一定的電路形式將雙極型電路和CMOS電路集成在一起,兼有高密度、低功耗和高速大驅動能力等特點,可以制造出性能優(yōu)良的模/數(shù)混合電路。

  3.0.21無工廠芯片設計公司

  半導體產(chǎn)業(yè)的一種營運公司, 專門從事半導體芯片的設計、研發(fā)、應用和銷售,而將晶圓制造、封裝測試等外包給專業(yè)的代工廠。

  3.0.25薄膜晶體管液晶顯示器件

  包括非晶硅薄膜晶體管液晶顯示器件、低溫多晶硅(LTPS)薄膜晶體管液晶顯示器件以及銦鎵鋅氧化物薄膜(IGZO)晶體管液晶顯示器件等。

  3.0.27有機發(fā)光二極管顯示器件

  主要包括主動矩陣有機發(fā)光二極管顯示器(AMOLED)和被動矩陣有機發(fā)光二極體顯示器(PMOLED)。其中AMOLED按驅動技術不同又可分為LTPS AMOLED和IGZO AMOLED兩種,按是否可柔,又可分為柔性AMOLED以及非柔性AMOLED。

  4電子專用材料4.0.1 電子專用材料廠

  電子專用材料包括半導體材料、光電子材料、磁性材料、鋰電池材料、電子陶瓷材料、覆銅板及銅箔材料、電子化工材料等,不包括生產(chǎn)電子專用材料的原材料的生產(chǎn)制造。

  4.1半導體材料4.1.1半導體材料

  半導體材料主要包括:硅、鍺等元素類半導體材料;砷化鎵(GaAs) 磷化銦(InP)、氮化鎵(GaN)、碳化硅(SiC)、氮化鎵(GaN)、金剛石等化學物半導體材料。

  4.3 磁性材料4.3.1磁性材料

  廣義還包括可應用其磁性和磁效應的弱磁性及反鐵磁性物質。磁性材料按性質分為金屬和非金屬兩類,前者主要有電工鋼、鎳基合金和稀土合金等,后者主要是鐵氧體材料。按使用又分為軟磁材料、永磁材料和功能磁性材料。

  4.3.2硬磁材料

  常用的永磁材料分為鋁鎳鈷系永磁合金、鐵鉻鈷系永磁合金、永磁鐵氧體、稀土永磁材料和復合永磁材料等。

  4.3.3軟磁材料

  易于磁化,也易于退磁,廣泛用于電工設備和電子設備中。應用最多的軟磁材料是鐵硅合金(硅鋼片)以及各種軟磁鐵氧體等。

  4.4 電子陶瓷材料4.4.1 陶瓷材料

  具有高熔點、高硬度、高耐磨性、耐氧化等優(yōu)點??捎米鹘Y構材料、刀具材料,由于陶瓷還具有某些特殊的性能,又可作為功能材料。

  4.4.2電子陶瓷

  按功能和用途可以分為絕緣陶瓷、鐵電陶瓷、介電陶瓷、壓電陶瓷、半導體陶瓷和離子陶瓷等。

  4.5 覆銅板及銅箔材料4.5.1 覆銅板

  主要原材料為銅箔、玻璃纖維布、樹脂等。

  4.5.2銅箔

  一種陰質性電解材料,作為印制電路板的導電體,易粘合于絕緣層,接受印刷保護層。

  4.5.4黏結片

  用來粘結多層印刷版的各分離層。

  4.6 電子化工材料4.6.2 光刻膠

  主要由感光劑(光引發(fā)劑)、聚合劑(感光樹脂)、溶劑與助劑構成。經(jīng)光刻工藝將所需要的微細圖形從掩模版轉移到待加工基片上,在集成電路和半導體分立器件的微細加工中有廣泛應用。光刻膠按應用領域分類,大致分為LCD光刻膠、PCB光刻膠(感光油墨)與半導體光刻膠等。主要用于濕法刻蝕、清洗、顯影、互聯(lián)等工藝。

  4.6.3濕電子化學品

  主要用于半導體、平板顯示、太陽能硅片和LED等電子元器件的清洗和蝕刻等工藝環(huán)節(jié);按用途主要分為通用化學品和功能性化學品,其中通用化學品以高純?nèi)軇橹?,例如氧化氫、氫氟酸、硫酸、磷酸、鹽酸、硝酸等;功能性化學品指通過復配手段達到特殊功能、滿足制造中特殊工藝需求的配方類或復配類化學品,主要包括顯影液、剝離液、清洗液、刻蝕液等。

  4.7 其他電子材料4.7.2平板顯示用玻璃基板

  是平板顯示產(chǎn)業(yè)的關鍵基礎材料之一。平板顯示用玻璃基板是一種表面極其平整的薄玻璃片。生產(chǎn)方法有3種:浮法、溢流下拉法,狹縫下拉法。

  4.7.3偏光片

  根據(jù)不同態(tài)的偏振光通過偏光片會產(chǎn)生不同的透過率。

  4.7.5光刻掩膜版

  每個圖案都包含不透明和透明的部分,用來阻擋和允許光線通過,每一塊光刻掩膜版都會與硅片上原有的圖案對準,通過光線的透射來對光刻膠進行曝光,形成光刻掩膜版上圖案。其圖案制作材料通常為乳膠、鉻、氧化鐵等不透光的材料。

  4.7.8鋰離子電池材料

  正極材料主要時磷酸鐵鋰、錳酸鋰、鈷酸鋰、三元(鎳鈷錳酸鋰、鎳鈷鋁酸鋰)、鎳酸鋰等材料;負極材料以石墨類材料為主,電解液主要是有機溶劑溶解鋰鹽的溶液,隔膜材料主要為聚烯烴類微孔薄膜材料。

  5電子專用設備

  5.0.6 減薄設備

  一般用于藍寶石襯底、硅片、陶瓷片、光學玻璃、石英晶體、其它半導體材料等非金屬和金屬的硬脆性材料。

  5.0.10 退火設備

  目的是釋放應力、增加材料延展性和韌性、產(chǎn)生特殊顯微結構等。

  5.0.11 擴散爐

  用于大規(guī)模集成電路、分立器件、電力電子、光電器件和光導纖維等行業(yè)的擴散、氧化、退火、合金及燒結等工藝。分為垂直擴散爐(vertical)和水平擴散爐(horizontal)兩種類型。

  5.0.26化學機械拋光設備

  工件表面材料與拋光液中的氧化劑、催化劑等發(fā)生化學反應,將會生成一層相對容易去除的軟質層,然后在拋光液中的磨料和拋光墊的機械作用下去除軟質層,使工件表面拋光。

  6電子整機及電池生產(chǎn)與處置工程6.0.1電子整機產(chǎn)品

  包括計算機、通信設備、廣播電視設備、雷達及配套設備、非專業(yè)視聽設備、智能消費設備等。

  6.0.8廢棄電器電子產(chǎn)品

  包括構成其產(chǎn)品的所有零(部)件、元(器)件和材料等,以及在生產(chǎn)、運輸、銷售過程中產(chǎn)生的不合格產(chǎn)品、報廢產(chǎn)品和過期產(chǎn)品。

  6.0.9電池處置 battery disposal

  通常包括初檢、放電、拆解、破碎、分選,以及配套的廢舊電池、回收部件及材料的倉儲、暫存等工序。

  7數(shù)據(jù)中心7.0.1數(shù)據(jù)中心

  可以是一棟或幾棟建筑物,也可以是一棟建筑物的一部分,包括主機房,輔助區(qū)、支持區(qū)和行政管理區(qū)。

  7.0.3 電子信息設備

  包括服務器、交換機、存儲設備等。

  7.0.4主機房

  包括服務器機房、網(wǎng)絡機房、存儲機房等功能區(qū)域。

  7.0.5 行政管理區(qū)

  包括辦公室、門廳、值班室、盥洗室、更衣間和用戶工作室等。

  7.0.6 災備數(shù)據(jù)中心

  包括限制區(qū)、普通區(qū)和專用區(qū)。

  7.0.14 液冷技術

  按照液體與發(fā)熱元件的接觸方式,分為冷板式、噴淋式和浸沒式。

  8電子工業(yè)工程建設特種技術

  8.1 工藝技術與工藝設計8.1.38封裝

  為使芯片與外界環(huán)境隔絕、不受污染,且便于使用、焊接。

  8.1.39先進封裝

  可以降低產(chǎn)品價格、改進性能、提高密度、減小組件尺寸。

  8.1.42背面減薄

  便于劃片,減少體硅的串聯(lián)電阻,并利于散熱。

  8.1.45鍵合

  主要有引線鍵合(WB)、帶式自動鍵合(TAB)、倒裝芯片鍵合(FCB)三種。

  8.1.49打碼

  打碼方式有很多種,最常用的是印碼(Print)方法,包括油墨印碼和激光印碼兩種。

  8.1.56聚酰亞胺取向劑涂覆

  以備下一道工序對其進行摩擦取向,達到對液晶分子進行取向目的。

  8.1.62真空蒸鍍

  使用較早、用途較廣泛的氣相沉積技術,具有成膜方法簡單、薄膜純度和致密性高、膜結構和性能獨特等優(yōu)點。

  8.1.72直拉法

  直拉法生長單晶生產(chǎn)效率較高,并易于實現(xiàn)大直徑化。直拉硅單晶主要用于制作集成電路、二極管及分立器件、太陽能電池等。

  8.1.141 自動物料搬運系統(tǒng)

  包括運載單元、傳送系統(tǒng)、存儲系統(tǒng)、跟蹤系統(tǒng)和控制系統(tǒng)等,一般分為Interbay和Intrabay,OHS負責Interbay,而OHT(AGV/PGV/RGV)負責Intrabay。

  8.2 潔凈技術8.2.1潔凈室

  建造和使用應減少空間內(nèi)誘入、產(chǎn)生及滯留粒子。室內(nèi)其他有關參數(shù)如溫度、濕度、壓力、氣載分子污染物濃度、防靜電、噪聲、氣流流型、照度、防微振等按工藝要求進行控制。

  8.2.2潔凈區(qū)

  空間內(nèi)其他有關參數(shù)如溫度、濕度、壓力等按要求進行控制。潔凈區(qū)可以是開放式或封閉式。

  8.5防輻射8.5.4電磁輻射

  包括信息傳遞中的電磁波發(fā)射,工業(yè)、科學、醫(yī)療應用中的電磁輻射,高壓送變電中產(chǎn)生的電磁輻射。

  8.5.5電離輻射

  包括高速帶電粒子有α粒子、β粒子、質子,不帶電粒子有種子以及X射線、γ射線等。

  8.7電子工業(yè)純水8.7.2電子工業(yè)純水系統(tǒng)

  通常包括純水制備、純水的輸送和分配、純水的回收和處理的系統(tǒng)。

  8.7.5 反滲透水

  可用于制備純水、超純水。

  8.7.12 砂濾sand filtration

  主要作用是截留水中的大分子固體顆粒和膠體,使水澄清。

  8.7.14 超濾

  超濾能截留分子量范圍為幾百至兒百萬的溶質和微粒,多為大分子有機物和膠體。

  8.7.22膜脫氣裝置

  在電子工業(yè)純水系統(tǒng)中主要是脫除純水中的溶解氧。

  8.7.25供水環(huán)路

  供水環(huán)路一般由純水精處理系統(tǒng)和供、回水管路共同組成。最終使用點用水取自從終端過濾器到純水水箱之間的閉合供水環(huán)路。

  8.9廢氣、廢水和固廢

  8.9.2酸性廢氣

  通常溶于水中會發(fā)生反應,形成弱酸,包括二氧化硫 、硫化氫、氟化物、氯、氯化氫、磷酸、硝酸、硫酸等。

  8.9.3堿性廢氣

  通常能與酸作用生成鹽類化合物,包括氨、胺類化合物、氫氧化鈉等。

  8.9.5全氟化合物

  四氟化碳 (CF4)、六氟乙烷 (C2F6)、八氟丙烷 (C3F8)、八氟環(huán)丁烷(c-C4F8)、三氟化氮 (NF3)、六氟化硫(SF6)和 三氟甲烷(CHF3)。上述的全氟化合物和氫氟碳化物在工業(yè)界統(tǒng)稱為全氟化合物。

  8.9.6揮發(fā)性有機物

  在表征揮發(fā)性有機物總體排放情況時,根據(jù)行業(yè)特征和環(huán)境管理要求,可采用總揮發(fā)性有機物(以TVOC表示)、非甲烷總烴(以NMHC表示)作為污染物可控制項目。

  8.9.17填料洗滌式廢氣處理設備

  包括本體、 填料層、除霧層、循環(huán)噴淋系統(tǒng)、循環(huán)泵、儲液槽及控制系統(tǒng)等的廢氣處理設備,通常分為水平式和垂直式。

  9其他相關術語

  9.0.1模擬電路

  用來產(chǎn)生、放大和處理各種幅度隨時間連續(xù)變化的信號。

  9.0.2數(shù)字電路

  用來產(chǎn)生、放大和處理各種在時間上和幅度上離散取值的信號。

  9.0.19設備搬入時間

  集成電路行業(yè)特指光刻機的搬入時間。

相關文章

18729020067
18729020067
已為您復制好微信號,點擊進入微信